你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

【经验分享】STM32F0+SPI通讯

[复制链接]
STMCU小助手 发布时间:2021-11-20 23:00
SPI ,全称:Serial Peripheral Interface,即串行外围设备接口。是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。
" }, P' ~$ G9 x8 ]( m( |+ s' F8 i# C! P
SPI通讯设备之间的常用连接方式如下图:
, ]9 v8 G( ^2 h" ?
, `! R( K+ _* z0 h+ b
20190817081810479.png

# a! h  X: I) S' e3 b7 `1 p, ?! ?! J) Y! c* m7 B+ q
SPI通讯使用3条总线和一个片选线,3条总线分别为SCK、MOSI、MISO,片选线为SS,它们的作用介绍如下:
' k; q' a! B/ ?: S) G  |. A
$ c- e/ \+ |  qSS(Slave Select):从设备选择信号线,常称为片选信号线,也称为NSS、CS。当有多个SPI从设备与SPI主机相连时,设备的其它信号线SCK、MOSI及MISO同时并联到相同的SPI总线上,即无论有多少个从设备,都共同只使用这3条总线;而每个从设备都有独立的这一条NSS信号线,本信号线独占主机的一个引脚,即有多少个从设备,就有多少条片选信号线。I2C协议中通过设备地址来寻址、选中总线上的某个设备并与其进行通讯;而SPI协议中没有设备地址,它使用NSS信号线来寻址,当主机要选择从设备时,把该从设备的NSS信号线设置为低电平,该从设备即被选中,即片选有效,接着主机开始与被选中的从设备进行SPI通讯。所以SPI通讯以NSS线置低电平为开始信号,以NSS线被拉高作为结束信号。
+ q2 V- ^9 ?7 C# \% d& Z1 OSCK(Serial Clock):时钟信号线,用于通讯数据同步。它由通讯主机产生,决定了通讯的速率,不同的设备支持的最高时钟频率不一样,如STM32的SPI时钟频率最大为fpclk/2,两个设备之间通讯时,通讯速率受限于低速设备。$ X. A, P5 z6 T# i
MOSI(Master Output, Slave Input):主设备输出/从设备输入引脚。主机的数据从这条信号线输出,从机由这条信号线读入主机发送的数据,即在这条线上数据的方向为主机到从机。
- K3 ]: \4 u2 o* v6 i- C; x1 J2 k* OMISO(Master Input,,Slave Output):主设备输入/从设备输出引脚。主机从这条信号线读入数据,从机的数据由这条信号线输出到主机,即在这条线上数据的方向为从机到主机。
' F, R" y& V: m4 dSPI基本通讯过程:
& U, H& A5 o1 f1 N( d/ X7 F5 e* K5 L% ]: R
20190817081810508.png

+ O3 S' |) a' N* _/ G8 _
: y& P) X8 P4 m! i( z这是一个主机的通讯时序。NSS、SCK、MOSI信号都由主机控制产生,而 MISO 的信号由从机产生,主机通过该信号线读取从机的数据。MOSI与 MISO的信号只在 NSS 为低电平的时候才有效,在 SCK的每个时钟周期 MOSI和 MISO传输一位数据。各信号分解如下:
4 n. Y% ?' ]7 ]4 C* _5 ~
- e$ B, E$ O2 @4 z( I通讯的起始和停止信号
0 R! B  s* t7 O. p  a8 C在上图中的①标号处,NSS 信号线由高变低,是 SPI通讯的起始信号。NSS是每个从机各自独占的信号线,当从机在自己的 NSS 线检测到起始信号后,就知道自己被主机选中了,开始准备与主机通讯。在图中的⑥标号处,NSS信号由低变高,是 SPI通讯的停止信号,表示本次通讯结束,从机的选中状态被取消。
0 h- l. q5 D/ w3 |3 p7 u
* g" D1 V. N& K# p数据有效性
6 i/ I; v' P2 g) _3 I7 b/ XSPI使用 MOSI及 MISO信号线来传输数据,使用 SCK信号线进行数据同步。MOSI及 MISO数据线在 SCK的每个时钟周期传输一位数据,且数据输入输出是同时进行的。数据传输时,MSB先行或 LSB先行并没有作硬性规定,但要保证两个 SPI通讯设备之间使用同样的协定。7 P" ?0 V' }) q, x  s5 o: ]
. i9 K( M. V3 \. p8 c# ?
观察图中的②③④⑤标号处,MOSI及 MISO的数据在 SCK的上升沿期间变化输出,在 SCK的下降沿时被采样。即在 SCK的下降沿时刻,MOSI及 MISO的数据有效,高电平时表示数据“1”,为低电平时表示数据“0”。在其它时刻,数据无效,MOSI及 MISO为下一次表示数据做准备。* e/ X# v8 G/ f& R/ u) Z. `  B
9 j6 w8 K- M1 u: v$ z9 a
SPI每次数据传输可以 8 位或 16 位为单位,每次传输的单位数不受限制。
: [6 C0 ]& a' i
' g7 X2 c* V- l( ^时钟信号的相位和极性:! V; \* ~8 U( Y4 Y
SPIx_CR1寄存器的CPOL和CPHA位能够组合成四种可能的时序关系。CPOL:时钟极性选择,为0时SPI总线空闲为低电平,为1时SPI总线空闲为高电平。CPHA:时钟相位选择,为0时在SCK第一个跳变沿采样,为1时在SCK第二个跳变沿采样。根据CPOL和CPHA的不同组合可以分为四种工作方式,工作方式如下:
+ A0 j! H: R: c6 u
7 y4 z# J1 E8 o) u; {( f7 l
20190817081810498.png
. I+ l" ?6 f' k4 R
& N. K& H! T+ t9 P: q
当CPHA=0、CPOL=1时,MISO引脚上的数据在第一个时钟沿跳变之前已经上线了,而为了保证正确传输,MOSI引脚的最高位必须与时钟的第一个边沿同步,在SPI传输过程中,首先将数据上线,然后在同步时钟信号的下降沿时,SPI的接收方捕捉位信号,在时钟信号的一个周期结束时(上升沿),下一位数据信号上线,再重复上述过程,直到一个字节的8位信号传输结束。当CPHA=0、CPOL=0时,与前者唯一不同之处只是在同步时钟信号的上升沿时捕捉位信号,下降沿时下一位数据上线。+ h6 e8 v8 T! Q4 y
- G2 N. R: y3 ]' J1 U( n- h
20190817081810507.png

& @/ o5 K( j5 N- l, K5 l
% z( L3 D6 K1 @$ g当CPHA=1、CPOL=1时,MISO引脚和MOSI引脚上的数据的最高位必须与时钟的第一个边沿同步,在SPI传输过程中,在同步时钟信号周期开始时(下降沿)数据上线,然后在同步时钟信号的上升沿时,SPI的接收方捕捉位信号,在时钟信号的一个周期结束时(下降沿),下一位数据信号上线,再重复上述过程,直到一个字节的8位信号传输结束。当CPHA=1、CPOL=0时,与前者唯一不同之处只是在同步时钟信号的下降沿时捕捉位信号,上升沿时下一位数据上线。$ C0 z3 [" R5 j

, m, i, x$ i( XSPI内部框图如下所示:3 d$ L) k( g$ D( }) I4 }

  F$ k# p! T9 B
20190817081810533.png
; {- V  e% b# R# [
# ~8 P7 F' P: ]8 s% i" o: Z/ d
①通讯引脚
6 {0 ~. |+ \8 q) |
2 b! x, ]( ?% i3 m) DSPI的所有硬件架构都是从上图中①部分MOSI、MISO、SCK以及NSS展开的。STM32芯片有多个SPI外设,它们的SPI通讯信号引出到不同的GPIO引脚,使用时必须配置到这些指定的引脚。/ V0 n6 I; h% E, k! O
6 n' P$ K# ?* T7 g; I
②数据控制逻辑
" ?% a% X8 X. N: w! r; c- a& V- `- e) n2 `6 @
SPI的 MOSI及 MISO 都连接到数据移位寄存器上,数据移位寄存器的内容来源于接收缓冲区及发送缓冲区以及 MISO、MOSI线。当向外发送数据的时候,数据移位寄存器以“发送缓冲区”为数据源,把数据一位一位地通过数据线发送出去;当从外部接收数据的时候,数据移位寄存器把数据线采样到的数据一位一位地存储到“接收缓冲区”中。通过写 SPI的“数据寄存器 DR”把数据填充到发送缓冲区中,通过 “数据寄存器 DR”,可以获取接收缓冲区中的内容。
( e5 V* E5 Z' ]* C: z) Z" f9 u1 U, l) t& j& t
③时钟控制逻辑
! D0 n0 d, l6 l/ s" B7 n: F0 q+ u$ Z2 X- I7 T
SCK线的时钟信号,由波特率发生器根据“控制寄存器 CR1”中的 BR[0:2]位控制,该位是对 fpclk 时钟的分频因子,对 fpclk 的分频结果就是 SCK引脚的输出时钟频率。
/ P0 [3 T) T5 A0 g: Z( y/ o0 R  T( |! u1 v' j
④整体控制逻辑- R; G5 d" F. L9 {
9 M$ s5 S, @6 P6 W4 `
整体控制逻辑负责协调整个SPI外设,控制逻辑的工作模式根据我们配置的“控制寄存器(CR1/CR2)”的参数改变,基本的控制参数包括只接受模式、CRC使能、CRC校验位长度、时钟极性、时钟相位等等。除此之外,控制逻辑还根据要求负责控制NSS信号线。实际应用中,我们一般不使用STM32 SPI外设的标准NSS信号线,而是更简单地使用普通的GPIO,软件控制它的电平输出,从而产生通讯起始和停止信号。& z+ d4 P1 }& }+ C, M

7 N, X. o, w: S! q% R6 d+ m  R( OSPI通讯模式:
4 E" Q2 n5 W7 r. N" J& a9 v( T1、全双工通信:, D7 D! S. u; }) t  H6 j( c* y
3 J5 K/ p, F' ~% X. }/ n" S3 ?. N
默认情况下,SPI配置为全双工通信,在这种配置下,主寄存器和从寄存器的移位寄存器使用MOSI和MISO引脚之间的两条单项线连接。在SPI通信过程中,数据在主时钟提供的SCK时钟边缘上同步移动。主机通过MOSI线将要发送的数据传输给从机,并通过MISO线从从机接收数据。当数据帧传输完成(所有位都被移动),主从之间的信息传输完成。! Z# L7 v& C# y( b  q
+ q/ j3 }3 P* S- D- H: H9 m
2、半双工通信:
4 O( S; B' J5 p2 c
, ?7 Q4 U* t* h  ^3 F4 \在这种配置中,使用一条交叉连接线将主寄存器和从寄存器的移位寄存器连接在一起。在此通信过程中,数据在SCK时钟边缘上的移位寄存器之间同步移动,移动方向由主从双方互选。可在SPIx_CR1寄存器中配置。在此配置中,主机的MISO引脚或从机的MOSI引脚可以被其它应用当作GPIO使用。) K7 m% ]% a$ {' T
7 z! j+ n' d) N- y
3、单工通信:9 J/ ]/ w0 r! e: H

% |9 S* N9 }% R7 S$ K6 [SPI可以通过将SPI设置为只发送模式或只接收模式,以单工模式进行通信。在这种配置中,只有一根线用于主机和从机之间的传输。剩下不使用的MISO或MOSI引脚,可以作为GPIO使用。1 K+ Z3 W1 M( R- a1 X

/ X! K4 g6 \: q# ^! h. ~NSS片选信号介绍:9 P* S1 u* T1 A: F  J0 W) X5 H" N- k
在从机模式下,NSS作为标准芯片选择输入,并允许从机与主机通信。在主模式下,NSS可以用作输入或者输出。作为输入,它可以防止多机总线冲突,作为输出,它可以驱动一个从机的选择信号。
- I( X) Y5 c3 W' k
- O4 v% `* t1 S% D9 d" z输出模式:SPI的输出模式可在SPIx_CR2寄存器的SSOE位进行配置。当SSOE为1时,并且SPI处于主模式下控制时,NSS输出低电平。因此当其他SPI设备的NSS引脚与它相连,必然接收到低电平,则片选成功。
% O' g0 e! F. c输入模式:" n  Z5 p1 z/ u' }) W
1)软件输入:通过配置SPIx_CR1寄存器的SSM位来使能软件模式。NSS分为内部管脚和外部管脚,当NSS配置为软件输入时,NSS的外部引脚可以另作他用(例如:GPIO驱动外部设备CS输出低电平),NSS的内部引脚高低电平可以通过SPIx_CR1寄存器的SSI位来配置。STM32规定要将设备保持主机模式,NSS内部引脚必须输出高电平(SSI=1)。如果STM32作为从机使用,NSS内部引脚必须为0(SSI=0)。
: V' E, e! ~  l0 u0 w
  t9 I: ~% C: |; d% A. F* Z& E# A4 C2)硬件输入:对于主机,我们的NSS可以直接接到高电平,对于从机,NSS接低就可以了。2 L) L! Q/ x2 R- k# m# `9 }: i, s8 B

& q/ R4 v! e, V4 T0 C1 V5 USPI通讯过程:7 e4 _5 {6 n1 ?# a5 F4 a
STM32使用SPI外设通讯时,在通讯的不同阶段它会对“状态寄存器SR”的不同数据位写入参数,我们通过读取这些寄存器标志来了解通讯状态。主机全双工通信如下图所示:6 V5 o; ~0 e. h: r* Z' z

4 C9 ~8 D7 U% w) O
20190817081810577.png
- Y/ W9 D" X* W) a! Z" g
8 {0 q2 c) k5 D/ q" z
控制NSS信号线,产生起始信号。在传输开始之前,必须为从设备准备足够的时间去准备数据。: G6 C. [1 H0 \* K. \. D! H
把要发送的数据写入到“数据寄存器DR”中,该数据会存储到发送缓冲区。+ T$ S1 T! z( g; o
通讯开始,SCK时钟开始运行。MOSI把发送缓冲区中的数据一位一位地传输出去;MISO则把数据一位一位地存储进接收缓冲区中。6 l4 C* Z# n, E/ t* h. G
在主控系统中,如果通信(时钟信号)是连续的,BSY在帧与帧之间保持高电平状态。( C- T8 e! ?0 _! m
当发送完一帧数据的时候,“状态寄存器SR”中的“TXE标志位”会被置1,表示传输完一帧,发送缓冲区已空;类似地,当接收完一帧数据的时候,“RXNE”标志位会被置1,表示传输完一帧,接收缓冲区非空。' U2 @5 N& _0 C: p  N( K
等待“TXE标志位”为1时,若还要继续发送数据,则再次往“数据寄存器DR”写入数据即可;等待“RXNE标志位”为1时,通过读取“数据寄存器DR”可以获取接收缓冲区中的内容。5 ~" N9 y5 T) d8 i
假如我们使能了DMA或中断时,TXE或RXNE置1时会产生SPI中断信号,进入同一个中断服务函数,到SPI中断服务程序后,可通过检查寄存器位来了解是哪一个事件,再分别进行处理。也可以使用DMA方式来收发“数据寄存器DR”中的数据。! i' t7 _& ^4 N" Z1 w8 `! ]
bsp_spi.c程序如下:; _0 |9 w! p( `, [
#include "bsp_spi.h"
4 o1 f/ n$ h. G) C% n7 A' f5 G
9 a3 H7 n# Z. c8 i5 C) X, O; Blong spi2_lost;) h+ t; U( n7 }* |
% M$ ]$ `! h$ J
  1. // 初始化SPI对应IO引脚
    4 {) Y- I1 R7 H! f
  2. void SPI_GPIO_Init(void)  y/ i0 \4 V4 o: q8 i
  3. {
      }! d1 R$ `: K' \1 ~. `
  4.     GPIO_InitTypeDef  GPIO_InitStruct;
    & {5 x% O/ h3 f+ Z5 t& o+ i
  5.     SPI_InitTypeDef SPI_InitStruct;
    + O9 u3 z6 \( v0 V' `. a2 `
  6.         
    * l8 x" a! T$ [# E
  7.     RCC_AHBPeriphClockCmd(SPI_RCC, ENABLE);7 T: a: y& B9 h7 f8 r
  8.     RCC_APB1PeriphClockCmd(RCC_APB1Periph_SPI2, ENABLE);) w# h- \- e) g1 a
  9.         " k0 S  D7 ]& ^- |  S- |
  10.     // 初始化GPIO引脚
    # {/ _/ [3 U; B6 A3 z, M6 }
  11.     GPIO_InitStruct.GPIO_Mode = GPIO_Mode_AF;
    ) q( l: Q7 F1 I) z
  12.     GPIO_InitStruct.GPIO_OType =  GPIO_OType_PP;7 `. I' l& @4 n' l- ]- c
  13.     GPIO_InitStruct.GPIO_Pin =  SPI_CLK_PIN | SPI_MOSI_PIN | SPI_MISO_PIN;4 k  v# \5 K5 {
  14.     GPIO_InitStruct.GPIO_PuPd = GPIO_PuPd_UP;
    % q* t- H& \+ v; u5 y
  15.     GPIO_InitStruct.GPIO_Speed = GPIO_Speed_Level_3;
    3 v0 R* l9 o4 ^' O
  16.     GPIO_Init(SPI_GPIOx, &GPIO_InitStruct);                # \! B4 s- e/ t6 u( v! i3 `3 Y
  17.         
      Y9 o8 ~1 _) K+ ~2 c
  18.     GPIO_InitStruct.GPIO_Pin = SPI_NSS_PIN;: T, u! |. ~. C, A
  19.     GPIO_InitStruct.GPIO_Mode = GPIO_Mode_OUT;+ e% w" t# s' O9 c
  20.     GPIO_Init(SPI_GPIOx, &GPIO_InitStruct);        
    + j' r7 S1 T: Q5 v. A) ]

  21. ; h1 c' E; T' L3 Z" G
  22.     // 配置GPIO复用
    % Z" E; ^% D% |1 C/ H
  23.     GPIO_PinAFConfig(SPI_GPIOx, GPIO_PinSource13, GPIO_AF_0); // PB13:CLK
    ; L; F/ @+ W9 z" r/ y$ t4 w1 }
  24.     GPIO_PinAFConfig(SPI_GPIOx, GPIO_PinSource14, GPIO_AF_0); // PB14:MISO) f/ d9 n. O" m& X
  25.     GPIO_PinAFConfig(SPI_GPIOx, GPIO_PinSource15, GPIO_AF_0); // PB15:MOSI                ; r5 I# e; t0 T3 u; g
  26.         
    3 b7 n# N6 {- D( A# G* L6 D# R
  27.     SPI_Cmd(SPI2, DISABLE); // 失能SPI8 T, G9 w: q' [( T
  28.     SPI_InitStruct.SPI_Direction = SPI_Direction_2Lines_FullDuplex; // 选择SPI单向或双向数据模式. t8 c+ l$ r! o" t$ ?( v% k" |: g- F
  29.     SPI_InitStruct.SPI_Mode = SPI_Mode_Master; // 选择SPI主机/从机模式+ M9 [; X& v& z/ g, _
  30.     SPI_InitStruct.SPI_DataSize = SPI_DataSize_8b; // 选择SPI数据宽度& N1 A; J# D' d0 F
  31.     SPI_InitStruct.SPI_CPOL = SPI_CPOL_Low; // 选择时钟极性) H' K( o8 g$ J( O
  32.     SPI_InitStruct.SPI_CPHA = SPI_CPHA_1Edge; // 选择时钟相位
    + A" ~' Z+ u% x' v
  33.     SPI_InitStruct.SPI_NSS = SPI_NSS_Soft; // 选择NSS信号管理方式
    $ K4 B9 T8 J6 j0 n
  34.     SPI_InitStruct.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256;        // 波特率选择& ]# ]" c8 C) B# D# [5 m% q
  35.     SPI_InitStruct.SPI_FirstBit = SPI_FirstBit_MSB;        // 选择数据传输开始方向  Q5 Y- v: H, a3 R8 C2 [
  36.     SPI_InitStruct.SPI_CRCPolynomial = 7;        // CRC计算多项式        ! j6 z* C% \5 F8 D" Q6 t
  37.     SPI_Init(SPI2, &SPI_InitStruct);! w! T- ^4 {2 P  V
  38.         
      L  {! P, k( h* K1 F5 X4 D
  39.     SPI_RxFIFOThresholdConfig(SPI2, SPI_RxFIFOThreshold_QF); // 配置FIFO阈值
    9 u' {5 E/ w& Q; {' Y
  40.     SPI_Cmd(SPI2, ENABLE); // 使能SPI        
    ) r# X1 ?% g2 t2 X2 W4 N( E. `
  41. }* r& C1 U7 l- b/ I8 D; i1 w

  42. 8 J8 b% S& e) z3 ?. W7 I+ O
  43. // SPI2读写一个字节! ~/ U8 a5 W6 A- c' Y) C6 k
  44. uint8_t SPI2_ReadWriteByte(uint8_t Data)0 q& {4 @3 X% X
  45. {
    # G% i6 X" G) t% Q# f( e; X  L6 k
  46.     uint8_t retry=0;6 u$ g# u& M1 d
  47.     while (SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_TXE) == RESET) { if(retry++>200) { spi2_lost++; return 0xFF;} } // 发送缓存标志位为空: [: l+ V5 G8 P( R
  48.     SPI_SendData8(SPI2, Data); // 通过外设SPI2发送一个数据" y9 y. B$ ?) f& {2 V9 X
  49.     retry=0;
    ' u4 m  I! c. _2 E3 n) p
  50.     while (SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_RXNE) == RESET) { if(retry++>200) { spi2_lost++; return 0xFF;} } //接收缓存标志位不为空' t+ x+ o% l. x# T" B6 d4 J4 l
  51.     return SPI_ReceiveData8(SPI2); // 通过SPI2返回接收数据
    6 l0 e/ H9 S) P: d3 s' E
  52. }
复制代码
5 x* |- X, C: a& m) m" ]$ K
bsp_spi.h程序如下:5 |) J3 f( l# W0 D4 I4 A
8 |# [5 H# ^4 c& C5 D' o$ W/ @
  1. #ifndef _BSP_SPI_H_3 l, Z( E3 P  N: j9 Q: D
  2. #define _BSP_SPI_H_
    ) w% I+ e% J4 ]
  3. 3 m7 y. |$ Q3 p1 ?+ x0 t
  4. #include "stm32f0xx.h"
    0 t( N# V0 q  Q+ x
  5. % w  Z0 i. ]3 G/ i: Z" {' D
  6. #define        SPI_RCC                                        RCC_AHBPeriph_GPIOB0 w" n8 x8 P6 X# ]) Y
  7. #define SPI_GPIOx                                GPIOB# w' p7 c! u8 F9 k

  8. ( O4 ?) y! k, n) k& W! W
  9. #define SPI_NSS_PIN                        GPIO_Pin_12                                % [0 t3 T& N  z) p; k) ?0 o+ y0 {* W
  10. #define SPI_CLK_PIN                        GPIO_Pin_13                                ( f& {  `" h1 y- H
  11. #define SPI_MISO_PIN                GPIO_Pin_14                                
      l6 e* e. Y, ?* Y, T, x
  12. #define SPI_MOSI_PIN                GPIO_Pin_15                                
    ! b; J* o2 z# t5 N1 B# b+ r2 H
  13. 8 \/ \4 _! ~- }& X% k
  14. #define SPI2_CS_ENABLE         GPIO_ResetBits(SPI_GPIOx, SPI_NSS_PIN)7 l9 W( S5 a) [
  15. #define SPI2_CS_DISABLE GPIO_SetBits(SPI_GPIOx, SPI_NSS_PIN)
    9 X0 o% Z6 C# y2 \) U2 D6 B( w
  16. ; ?" ~  n0 g( B. D& G
  17. void SPI_GPIO_Init(void);        
    , o  Q6 B) Q% A! W+ R% O  g
  18. uint8_t SPI2_ReadWriteByte(uint8_t Data);
    + Z- x. v1 X' B. s1 H! Z
  19. 7 T' q! m3 y. {' W8 }! n
  20. #endif
    $ A7 }: [8 q& ^

  21. + j* W4 i0 H; e3 ?: b. B7 x
复制代码

' P* q" Q/ z8 B8 p+ e3 z0 e( m
/ }* \0 s/ y8 @  L3 }
收藏 评论0 发布时间:2021-11-20 23:00

举报

0个回答

所属标签

相似分享

关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版