请选择 进入手机版 | 继续访问电脑版

你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

【经验分享】STM32 串口采用DMA方式收发

[复制链接]
STMCU小助手 发布时间:2022-1-14 23:05
什么是DMA —- Directional Memory Access, 直接存储器存取用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU干预,数据可以通过DMA快速地移动,这就节省了CPU的资源来做其他操作
我们通过以下几方面学习串口DMA: % k0 @0 g' P: A& o2 e% L) X% ~
一、如何理解DMA ! Q; A! c6 A, Q; F
对于DMA,打个比方就很好理解:
' ?" L/ N, T" K. o% ^" }; y角色预设: 淘宝店主 —- STM32 MCU % y8 O& ]2 [% ^# P4 @3 y
快递员 —- 外设(如UART,SPI) - D0 {' f% g3 D' Q+ {0 v- t( U5 s
发货室 —- DMA
$ F9 l  K/ f4 e* W$ H% N- b; D1、首先你是一个淘宝店主,如果每次发货收货都要跟快递沟通交涉会很浪费时间和精力。 9 W5 a: t* u+ k
2、然后你就自己建了一个发货室,发货室里有好多个货柜箱子,每个箱子上都写着快递名字(如果申通快递,顺丰快递等)。 3 U$ w6 x; d0 R, X
3、每次发什么快递,你就找到对应的货柜箱子,把货物放进去即可,然后跟快递通知一声。
6 u& ^4 p) s/ ?: t+ _* p4、快递取走快件。 3 R: E- s  E: U% n" A! C: @
5、如果是收货,快递直接把快件放到对应的柜子,然后通知你一下。
$ i1 n5 Q* g$ R: R, i/ G! x6、你过来提取货物。
通过上面的方式,你可以不需要直接跟快递打交道,就可以轻松发货成功,DMA处理方式跟上面例子是一样的。
/ x; Y- \& A! f如果下图:
20160330195757431.png ) s7 y( Q& {, M
二、STM32 DMA 配置
+ c- }+ ^4 f8 o/ z4 b' t4 ], i那么DMA在STM32上是具体怎么实现的呢? 我们先了解一下STM32关于DMA的相关配置。 : B; t  m- [/ R- [
1、两个DMA控制器有12个通道(DMA1有7个通道,DMA2有5个通道)
# z0 V6 f; X+ I4 L- ~7 lps:对应我们例子,就是有两个大的发货室,一个有7个货柜,另个有5个货柜。
2、在同一个DMA模块上,多个请求间的优先权可以通过软件编程设置(共有四级:很高、高、中等和低),优先权设置相等时由硬件决定(请求0优先于请求1,依此类推)
2 W/ i' ?0 w# t: A% |ps: 店主可以跟每个快递公司签订协议,可以在货柜前贴上加急(很高),很急(高),急(中),一般(低), 如果同时有几个快递员过来取货,优先根据上面的优先级先取件。
3、独立数据源和目标数据区的传输宽度(字节、半字、全字),模拟打包和拆包的过程。源和目标地址必须按数据传输宽度对齐。 4 w1 J+ {2 m5 X3 H
ps: 指的是货件大小
4、支持循环的缓冲器管理(会把原来的数据覆盖)
5、每个通道都有3个事件标志(DMA半传输、DMA传输完成和DMA传输出错),这3个事件标志逻辑或成为一个单独的中断请求。
" N% B9 V. D' A+ w3 d) v0 G# D2 Ups: 送快递出现的异常情况(送到了一半,送完,快递出错)
解释到这里,不知道大家能不能理解呢。后面是具体的配置。 / M9 L' z8 D; x" ]! s
1、DMA 对应通道如下图
; V; @& v/ q( s  v" HDMA1:
20160330200819419.png
4 ~" I; D  ]: P8 S
DMA2:
20160330200857373.png
6 O* Y' K& f4 P; Y! j! ]: V% j
2、DMA配置 ; a. e: ]* W- R: u
1)数据传输的目的地和来源
1 {- B6 {* R: C 20160330201145343.png
9 [1 m) t3 G) h对应我的例子,就是送快递还是取快递。
2)定义DMA通道的DMA缓存的大小
# b/ E' {0 R! Q2 k+ s( Z& {0 Qps: 即货柜大小,能存多少个快件
3)外设地址寄存器递增与否
20160330201558532.png
" W1 Y4 V$ i: m; E9 |
4)内存地址寄存器递增与否
20160330201731767.png
9 r* g+ \! @* T7 ?. w
5)设定了外设数据宽度
20160330201903861.png - W* q; j% K5 x
6)设定了内存数据宽度
20160330202128112.png
% U1 T0 ~, g- B& E$ l
7)设置了DMA的工作模式
20160330202255566.png
8 X0 y8 C1 R. ^* I5 X* j
8)DMA通道的软件优先级
20160330202445910.png
- M5 ]" Y  b3 _+ q, {
9)使能或关闭DMA通道的内存到内存传输
20160330202558442.png 9 ?7 o  z: D( {# C; k- ]2 j/ w* H
三、 编程
4 P- @0 R: ]8 ^% U( P7 q! j$ D7 f串口用DMA方式发送和接收,分以下几步:
, f2 n1 D! }7 O4 e# I+ s# m& A1)串口初始化
! T* e6 W- o' u8 D2)DMA初始化
2 I4 p" G' _) h  ]$ z( @9 H/ U3)发送数据
3 {5 B) n" [# v: N: H- K9 c+ G4)接收数据
我们按部就班: * j" U+ a4 P- c- _
1) 串口初始化 — 使用串口一
  1. 1 #define  DMASIZE 1024
    7 s) t1 r/ |* |
  2. 2  ( _* \- y" g0 Q' _' B9 w' p) V0 l
  3. 3 // 配置串口一的发送和接收的GPIO口功能,以及中断* `+ d' ]$ @% c
  4. 4 static void _uart1_gpio_init(void)% a* y( A' c9 k: C
  5. 5 {
    ; [  Y3 i$ `$ r9 b# w
  6. 6   NVIC_InitTypeDef NVIC_InitStructure;0 z/ S4 ]) x$ g8 v
  7. 7   GPIO_InitTypeDef GPIO_InitStructure;
    , ^4 S0 a. d# P, K1 n" u6 d  C
  8. 8  3 w1 c  m# O: {9 P5 c
  9. 9   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA   |& c& J& U# B6 |; C
  10. 10                      RCC_APB2Periph_USART1  |
    0 ]+ k  L5 m9 z  q: ~6 h" j+ i: i
  11. 11                          RCC_APB2Periph_AFIO, ENABLE) ;
    , w( g0 Y/ h' z/ h, D
  12. 12  7 b9 V, e# e3 h
  13. 13   GPIOA->CRH&=0XFFFFF00F;
    : Z7 h, `, m2 O* D1 }7 ~
  14. 14   GPIOA->CRH|=0X000008B0;//IO状态设置 10pin_上拉输入  9pin_推挽输出
    3 D9 U: `# Z- G; `! ?! ]; K3 v" \
  15. 15  
    ( m+ U, t' v) P; }+ n
  16. 16   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    + k; b, I: V4 [" k
  17. 17     /* Configure USART1 Rx as input floating */
    4 x- Y: y5 B3 y7 b
  18. 18   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;1 H5 Z. a. Y1 i& M; r8 Z8 e. B
  19. 19   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;3 U2 B  J5 O, t# A3 a, o
  20. 20   GPIO_Init(GPIOA, &GPIO_InitStructure);0 v; Q* f, }" ^! c  i. f
  21. 21  
    - x4 ~; ~* m3 m8 Z6 r) I2 M& m
  22. 22   /* Configure USART1 Tx as alternate function push-pull */
    ) ^; Z# A' U+ U  L
  23. 23   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;. C" x4 \. P4 x+ y2 N
  24. 24   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
    . X0 V8 I. I: ], H% J+ b
  25. 25   GPIO_Init(GPIOA, &GPIO_InitStructure);
      H5 Y) P" m# p) j! F2 p
  26. 26  8 Q3 {5 M! d" G4 G& b0 u
  27. 27  . O0 O; n! K8 @) s5 g0 f  s; |# G5 s& W
  28. 28   /* Enable the USART1 Interrupt */
    : m: ~, B7 x% D1 a& L( l) D
  29. 29   NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQChannel;
    6 P6 {8 l; x9 n
  30. 30   NVIC_InitStructure.NVIC_IRQChannelSubPriority = 2;
    ' \% [4 }: R. z5 _& \" g
  31. 31   NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;! c* F+ u; g: U# \, K6 |
  32. 32   NVIC_Init(&NVIC_InitStructure);7 n8 o  r4 d1 V' m6 b& z
  33. 33  ( p2 W- _9 }5 P! Z; O: i
  34. 34   USART_ClearFlag(USART1, USART_FLAG_TC); /* 清发送外城标志,Transmission Complete flag */
    + W0 F& T3 U% p+ s4 c) }
  35. 35  
    5 p6 \( q+ B- `* z* k
  36. 36   USART_ITConfig(USART1, USART_IT_IDLE, ENABLE);// 采用空闲中断,目的是在产生空闲中断时,说明接收或者发送已经结束,此时可以读取DMA中的数据了。
    5 X2 T5 _2 k. T" R, _# u5 T! f. A
  37. 37   //USART_ITConfig(USART1, USART_IT_TC, ENABLE);1 X4 `5 L0 \+ C! p
  38. 38   //USART_ITConfig(USART1, USART_IT_FE, ENABLE);6 B& f  o0 r; o+ X. l" }/ T
  39. 39 }8 |+ [# R0 D- ^; S% r
  40. 40 // 设置对应串口的波特率
    ; |/ v3 g! `: ?5 {/ A$ p# F
  41. 41 static void _uart_setbaudrate(USART_TypeDef* USARTx,u32 value)9 s9 X& U* ]' o
  42. 42 {
    5 `, {8 ?8 w* {' \' J
  43. 43   USART_InitTypeDef USART_InitStructure;
    ' ^" h3 w4 }% [6 C1 Q
  44. 44   USART_InitStructure.USART_BaudRate =value;
    2 d! L& ]+ n4 w  e/ i
  45. 45   USART_InitStructure.USART_WordLength = USART_WordLength_8b;
    / N4 i- ]- h2 k$ C
  46. 46   USART_InitStructure.USART_StopBits = USART_StopBits_1;
    & F8 C) A% a' A3 p; U( }* m
  47. 47   USART_InitStructure.USART_Parity = USART_Parity_No;# E3 L- o6 R( F1 d7 Y
  48. 48   USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;
    ( k" K7 x! G1 _# M( }) s
  49. 49   USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;
    , F# \4 R1 d/ I% g% g
  50. 50   USART_Init(USARTx, &USART_InitStructure);
    . K, i2 S/ l$ r; S5 [1 ~4 e
  51. 51   USART_Cmd(USARTx, ENABLE);7 ]0 j  ~3 z& A% I# A- O- H
  52. 52 }
复制代码
$ m1 C9 J; u( P! U: h* k
2)初始化DMA
  1. 1 u8 sendbuf[1024];
    0 L4 N- q) t7 U/ s5 I
  2. 2 u8 receivebuf[1024];
    1 O/ N- }( m( K4 a8 z
  3. 3 static void _uart1_dma_configuration()
    ; b; f$ l* W* ]
  4. 4 {
    1 P  A% x) E  ~; J
  5. 5   DMA_InitTypeDef DMA_InitStructure;9 y0 W( S) i' S: \8 w! E5 a
  6. 6  
    5 z; C4 S. B8 @. ?/ t0 G
  7. 7   /* DMA1 Channel6 (triggered by USART1 Rx event) Config */
    2 g' @' ?+ @+ V1 v+ L
  8. 8   RCC_AHBPeriphClockCmd(RCC_AHBPeriph_DMA1 ,# P* k. f; m  p; T
  9. 9                         ENABLE);; W$ T, I# m/ v/ x; l' A  ^* o
  10. 10  ' J4 B* c6 G7 p* {  H% e
  11. 11   /* DMA1 Channel5 (triggered by USART1 Rx event) Config */" s1 j- n+ h9 E! n0 x( j
  12. 12   DMA_DeInit(DMA1_Channel5);# L2 y& _( T# z' I+ n, `6 ]6 k: O
  13. 13   DMA_InitStructure.DMA_PeripheralBaseAddr = USART1_DR_Base;// 初始化外设地址,相当于“哪家快递”  
    ; r6 c  w% _5 S
  14. 14   DMA_InitStructure.DMA_MemoryBaseAddr =(u32)receivebuf;// 内存地址,相当于几号柜: I1 K* k: C0 Z. J
  15. 15   DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralSRC;//外设作为数据来源,即为收快递' _! `3 t, H0 \3 q
  16. 16   DMA_InitStructure.DMA_BufferSize = DMASIZE ;// 缓存容量,即柜子大小. Z& u8 C# C# y$ G- d) T% H
  17. 17   DMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable; // 外设地址不递增,即柜子对应的快递不变; W; f& Q% L2 _& ~4 m
  18. 18   DMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable;// 内存递增
    ' h  D& x7 `+ \. Y& P# O/ U0 O0 M
  19. 19   DMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_Byte; //外设字节宽度,即快递运输快件大小度量(按重量算,还是按体积算) 5 H5 {- \6 w- X$ w
  20. 20   DMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_Byte;// 内存字节宽度,即店主封装快递的度量(按重量,还是按体质进行封装)
    " g1 ?0 L0 H% H- b" I4 ^$ }% }+ v
  21. 21   DMA_InitStructure.DMA_Mode = DMA_Mode_Normal;// 正常模式,即满了就不在接收了,而不是循环存储2 i) T$ k1 q% g& E  A8 z) C
  22. 22   DMA_InitStructure.DMA_Priority = DMA_Priority_VeryHigh;// 优先级很高,对应快递就是加急
    . H4 W1 T& ?7 W& \  v" k  c$ q8 d
  23. 23   DMA_InitStructure.DMA_M2M = DMA_M2M_Disable; // 内存与外设通信,而非内存到内存 # ?8 r, i  i# z; ?
  24. 24   DMA_Init(DMA1_Channel5, &DMA_InitStructure);// 把参数初始化,即拟好与快递公司的协议* T' \) v5 ^! y7 B
  25. 25  0 }5 \; b, j/ t3 Z: s  t
  26. 26   DMA_Cmd(DMA1_Channel5, ENABLE);// 启动DMA,即与快递公司签订合同,正式生效- i( k4 f/ [/ k+ w; A6 A
  27. 27  
    % t; `- F- R. ]) k
  28. 28   /* DMA1 Channel4 (triggered by USART1 Tx event) Config */
    5 d3 \8 m2 m( n# ]1 i
  29. 29   DMA_DeInit(DMA1_Channel4);2 q- u7 X1 K0 Q- O+ o! _
  30. 30   DMA_InitStructure.DMA_PeripheralBaseAddr = USART1_DR_Base;  // 外设地址,串口1, 即发件的快递
    3 Y8 \, \1 Y8 U0 q
  31. 31   DMA_InitStructure.DMA_MemoryBaseAddr =(u32)sendbuf;// 发送内存地址* m  D0 w* a  L3 ~4 l
  32. 32   DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralDST;// 外设为传送数据目的地,即发送数据,即快递是发件2 a8 Y5 E- X4 Q' s, k
  33. 33   DMA_InitStructure.DMA_BufferSize = 0;  //发送长度为0,即未有快递需要发送
    5 ]' g  P/ U# ~3 |: R* q
  34. 34   DMA_Init(DMA1_Channel4, &DMA_InitStructure);//初始化
      r- I+ j; Y( f7 G2 h
  35. 35  
    / A* g% `8 B2 y9 L' N2 w7 b
  36. 36   USART_ITConfig(USART1, USART_IT_TC, ENABLE);// 使能串口发送完成中断
    : F, L9 I" Q2 t5 s9 Q. Q7 Y
  37. 37   USART_DMACmd(USART1, USART_DMAReq_Tx|USART_DMAReq_Rx, ENABLE);// 使能DMA串口发送和接受请求" `: t/ O# t2 q! X
  38. 38 }
复制代码
% U- a6 B( g* d! m" [( X- m( R# v. X
3、数据发送
- Y& l; P: j2 J流程:串口发送数据,全部数据发送完毕后,会产生一个发送中断,所以
5 d% z3 {+ T( ?1 `; U: C7 s! V发送数据分为两部分,
5 C4 |$ ^; O; e" I" _7 @A、发送数据
  A" I: n8 p' P6 a" A3 C  \) yB、中断处理
  1. 1 A、发送数据
    % n6 j: L# T7 i  q% m
  2. 2 u16 Uart_Send_Data(void* buffer, u16 size)
    . l% q4 y  w- u6 V
  3. 3 {' D% v0 p8 T2 z* C
  4. 4   if(!size) return 0;// 判断长度是否有效# J- T2 w* p6 [4 I% F, L
  5. 5   while (DMA_GetCurrDataCounter(DMA1_Channel4));// 检查DMA发送通道内是否还有数据2 j7 y5 d  W) {8 U" J8 X
  6. 6   if(buffer) memcpy(sendbuf, buffer,(size > 1024?1024:size));4 [( X( }; |! D  F& l
  7. 7   //DMA发送数据-要先关 设置发送长度 开启DMA. G( V- P' s) t/ R
  8. 8   DMA_Cmd(DMA1_Channel4, DISABLE);& t. P' U" b( X$ U9 h, u
  9. 9   DMA1_Channel4->CNDTR = size;// 设置发送长度: e# j$ a  t2 G( n; S6 `5 ]
  10. 10   DMA_Cmd(DMA1_Channel4, ENABLE);  // 启动DMA发送
    * D9 g4 f+ X# L
  11. 11   return size;4 }/ l9 Y( e# S& t% f0 b
  12. 12 }; K- X( X. ?8 d9 }) `  {! L
  13. 13  7 V$ o; n6 p2 M% \
  14. 14 B、中断处理
    , A  j7 i1 O  u5 c; \# f
  15. 15 1)中断处理相关准备工作% D- y% _2 [) a$ ?/ K7 S
  16. 16 typedef enum _UartEvent_. u) }. p5 X- a
  17. 17 {6 Z: s! l: k+ A, ~
  18. 18    E_uart_0 = 0,// 没有事件
    2 \; N/ M$ d! {- r( y! c
  19. 19    E_uart_tc=0x40,                  //发送完成* Z2 r, i0 ~8 y- G" n5 O
  20. 20    E_uart_idle=0x80,               //接收完成& b0 ]/ p, ]/ {4 ]0 e, W
  21. 21 }UartEvent;
    + g$ z7 ]( @) J8 n
  22. 22 u16 receivelen = 0;// 声明接收数据长度7 N0 q8 G  }% Q4 p5 @9 a7 ]
  23. 23 UartEvent event;//申明一个事件参数
    " E( X" r7 P) v9 V& s
  24. 24  9 H' [* ^$ `* ^5 C1 G
  25. 25 //清除DMA 缓存,并终止DMA
    ! q/ c1 Y& E, a% l
  26. 26 void Uart_Dma_Clr(void)
    % B" M' g: J, G9 ~6 n9 W5 B
  27. 27 {
    % {: f! p6 [' i6 s
  28. 28     DMA_Cmd(DMA1_Channel4, DISABLE);7 g$ M- g% L; |0 h
  29. 29     DMA1_Channel4->CNDTR=0;
    9 G1 Z0 i+ b0 Q- y1 M) D
  30. 30     DMA_Cmd(DMA1_Channel5, DISABLE);
    6 Q' V; }+ f+ ^; e
  31. 31     DMA1_Channel5->CNDTR=DMASIZE ;
    # S/ Y/ E' ]; a" c% f  `
  32. 32     DMA_Cmd(DMA1_Channel5, ENABLE);% c2 y" U9 l1 g+ I- h, ?+ ]# P
  33. 33 }
    . b1 N& z1 w5 {) s
  34. 34 // 获取一个事件,事件分为发送完成事件和接收完成事件,可以根据事件进行进行处理! b9 p9 {! }; m4 @' m
  35. 35 UartEvent Uart_Get_Event(void)
    ' y. X, M& M+ M& n1 V6 k
  36. 36 {6 O) F( S% U3 e; {; U# o! o
  37. 37   UartEvent e;
    # @+ q. q$ O6 L# P
  38. 38   if(!DMA1_Channel5->CNDTR) Uart_Dma_Clr();// 如果产生一个事件后,接收数据通道已经没有了缓存空间,进行清除DMA清空5 v3 }* e$ Y+ o. d$ m8 Z0 [7 ~
  39. 39   return event;
    * q1 Q$ {6 }. w2 H
  40. 40 }
    1 ^- P+ ~5 F* I
  41. 41 // 清除对应的事件
    + V+ F/ O. \+ o/ \) l' v3 s  \+ t1 r
  42. 42 void Uart_Clr_Event(UartEvent event_in)* b, w3 B& N4 ?# e$ s
  43. 43 {9 o& r; i) I9 H
  44. 44     event&=~event_in;
    , V& T# L3 j( D3 m$ D* g  Q
  45. 45 }
      t& j# C- P/ N( n. b
  46. 46  
    ) z& G- ?( v% r( B9 _  @: u
  47. 47  7 B  o) R7 G3 q" `8 x
  48. 48 2) 中断处理,当所有数据发送完毕,串口1产生一个发送完成中断
    0 v# f2 ^. e" T9 w. A
  49. 49 void Uatr1_Back_IRQHandler()" Y) \) Z" e& O$ G  Q$ C( k- l
  50. 50 {
    ' R; o/ T/ L: i0 s6 ?9 |
  51. 51   u8 tem;
    , ~, O# W3 |' K6 |- t8 E# r
  52. 52   if(USART_GetITStatus(USART1,USART_IT_IDLE)!= RESET)2 V( r1 q# C. k0 h' R. F) {  @4 T
  53. 53   {' `. A+ L) X, B* O+ ?
  54. 54     tem=USART1->SR;//先读SR,然后读DR才能清除
    ; e5 ]+ f: [4 p$ V( r1 g1 G% _, A% k6 F
  55. 55     tem=USART1->DR;
      f* V8 N- e( W- G" ?  T$ k& V, u
  56. 56     tem=tem;% ]$ a  q% j& k7 m
  57. 57     Uart_Set_Event(E_uart_idle);) M  H' m+ K" o
  58. 58     receivelen =DMASIZE - DMA1_Channel5->CNDTR;// 总的buf长度减去剩余buf长度,得到接收到数据的长度* \/ I2 l) S' x/ T/ f
  59. 59     USART_ClearITPendingBit(USART1, USART_IT_IDLE);# J; u$ l% o4 d$ E+ A/ S: J, o1 C
  60. 60   }
    8 D& |% l: x! J) ]
  61. 61  
    ( ]5 g- L; {3 i
  62. 62   **if(USART_GetITStatus(USART1,USART_IT_TC)!= RESET) // 全部数据发送完成,产生该标记**9 u0 E  o1 \2 W: j3 l. b
  63. 63   {" o) ^' e# ?6 Z* R
  64. 64     USART_ClearITPendingBit(USART1, USART_IT_TC);   // 清除完成标记+ n/ c1 x: K" O% V+ }
  65. 65     DMA_Cmd(DMA1_Channel4, DISABLE); // 关闭DMA( F2 \) o0 j8 ^& M) n2 W! c
  66. 66     DMA1_Channel4->CNDTR=0;          // 清除数据长度; }: ^, b& z1 e  J# U$ \8 d
  67. 67     Uart_Set_Event(E_uart_tc);     //设置发送完成事件. |& u% `8 U% f8 d9 ^! O$ d
  68. 68   }
    , x5 i/ K  j  ?, u7 D, m4 I
  69. 69 }
复制代码

: [; l) a3 O* O# L7 G) s* b, F# e' o
6 i/ w$ N! K% f
4、接收数据 ; w+ c+ A( Y2 }
20160331112633230.png
1 L" E8 A/ e% v6 u. _! O9 w根据上图描述,流程如下:
( Z" ~9 ?' |, K) u3 j- H& s1、串口接收到数据 9 p0 Q- U/ x) ~$ f' @% S
2、DMA自动取走数据
0 h; M; \# n, K& n% i3、DMA把数据存到内存receive[1024]中
2 C; E- R- y- N* G; b 20160331110254252.png : p& T: p( O6 Q- M, Z% p8 m' X
4、串口接收完毕后会产生一个空闲中断
根据上面流程,我们接收数据需要做到两步: # j1 N5 ]4 i. D8 N, L. X1 t
1)串口产生一个空闲中断后,设置一个接收完成事件 1 ?; b5 `3 I8 O6 M
中断处理:
  1. 1 void Uatr1_Back_IRQHandler()/ _! P. t0 G, Q- u7 Y$ y! m6 W
  2. 2 {% x5 _- R  {2 f4 [+ ~2 K3 e1 b
  3. 3   u8 tem;/ p6 r- g+ N/ i
  4. 4   **if(USART_GetITStatus(USART1,USART_IT_IDLE)!= RESET)**( Q- j' ^- ^2 r" T! e( o
  5. 5   {: ?, ~7 ^6 b2 I! S9 \# F  H
  6. 6     tem=USART1->SR;//先读SR,然后读DR才能清除, R. \' `. Q" m
  7. 7     tem=USART1->DR;// 清除DR * m( Q# F/ \+ W3 k. T
  8. 8     tem=tem; // 防止编译器警告) X- o7 I" F0 d, v
  9. 9     Uart_Set_Event(E_uart_idle);// 设置接收完成(空闲)事件
    $ U! Z( g" H, P( X* Y
  10. 10     receivelen =DMASIZE - DMA1_Channel5->CNDTR;// 总的buf长度减去剩余buf长度,得到接收到数据的长度! m& k* W; h7 k5 W
  11. 11     USART_ClearITPendingBit(USART1, USART_IT_IDLE); // 清除空闲中断
    . f0 N9 l/ m6 H( `3 h6 L
  12. 12   }
    3 G# I( R/ K' z( g( V; @
  13. 13  4 \3 M3 j2 l! b0 n/ r4 a1 n+ t, i  \
  14. 14 if(USART_GetITStatus(USART1,USART_IT_TC)!= RESET) // 全部数据发送完成,产生该标记  
    3 K( ~. y1 r2 p. l, }8 T% r! z  G
  15. 15 {  x+ s' \* X; S  I  b
  16. 16     USART_ClearITPendingBit(USART1, USART_IT_TC);   // 清除完成标记7 \6 P% U( Q/ D6 [7 }3 `
  17. 17     DMA_Cmd(DMA1_Channel4, DISABLE); // 关闭DMA
    % j& v% u# k1 C& H& ^9 i" D
  18. 18     DMA1_Channel4->CNDTR=0;          // 清除数据长度
      ]. G3 L2 ?& N
  19. 19     Uart_Set_Event(E_uart_tc);     //设置发送完成事件0 k. a: w1 A: T4 W) q
  20. 20   }
    - k& Z2 J' `6 b# r9 S
  21. 21 }
复制代码

0 X" P# G2 E5 w
2)接收数据函数检测事件,如果发现是接收完成事件,取走数据,并且做相关清除操作
  1. 1 u8 Uart_Receive_Data(u8*recbuf u16 *revLen)5 k7 F; i7 M# L$ z/ `* S
  2. 2 {) S3 }0 q  H3 U) n
  3. 3     u8 *str;
    / V  n5 _; Z, t; x
  4. 4     if( event & E_uart_idle) // 是否产生空闲中断
    1 }6 u: V) d8 x4 x% P
  5. 5     {; ?  t* i; s- \
  6. 6         str = Uart_Get_Data(revLen);   
    4 Q* ?, u5 U# Y$ I, J: L% M" t8 W
  7. 7         memcpy(recbuf,receivebuf,*revLen);
    7 E) e! U1 X( E$ Y
  8. 8         Uart_Clr_Event(E_uart_idle);& o4 x/ @4 D4 A! x9 i
  9. 9         Uart_Dma_Clr();2 P5 A. D' ?7 L* Q' U
  10. 10         return TRUE;
    " a2 B' @/ P9 E/ @3 ~
  11. 11     }
    + N- f- p% w) A* v$ l2 c
  12. 12     else8 T% \: d/ G0 K' ~) m
  13. 13     {
    9 b6 p7 @1 d+ \5 N/ S  Y5 s
  14. 14         revLen = 0;
    " W- W% ]" h! a3 Z
  15. 15         return FALSE;( T, r4 d# |* U0 T5 |9 @
  16. 16     }. ]. w9 g$ n: l8 o
  17. 17 }
复制代码

# T; j( A$ x% z/ b9 b% F$ Q. j
好了,到此DMA已经讲完了,有点长!!! ! M) s$ _, I( q9 a
小结:
5 p5 z7 I$ a, ]4 L% \  l1、DMA其实就是个自动缓存器,数据来了,缓存到指定位置。发送数据则把缓存数据发送出去。 # {0 L, u( ^$ U& @
2、串口空闲中断,实测在接收完成数据后,空闲闲置时产生的,而发送数据不会产生该中断。
  Q& m! v/ s$ X7 X2 ?1 U% U3 a3、串口发送完成中断,实测在全部数据发送成功后,才会产生中断。

4 w; a, m6 `' m" D7 {
收藏 评论0 发布时间:2022-1-14 23:05

举报

0个回答
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版