请选择 进入手机版 | 继续访问电脑版

你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

【经验分享】STM32 串口采用DMA方式收发

[复制链接]
STMCU小助手 发布时间:2022-1-14 23:05
什么是DMA —- Directional Memory Access, 直接存储器存取用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU干预,数据可以通过DMA快速地移动,这就节省了CPU的资源来做其他操作
我们通过以下几方面学习串口DMA:
& A2 M* o9 \% a3 p6 c( x一、如何理解DMA . T2 o. U& \6 B. P
对于DMA,打个比方就很好理解:
( y% \4 @! t3 Q9 O# e% `角色预设: 淘宝店主 —- STM32 MCU 1 ]2 i# }3 j1 X. g8 ?* ^! c7 [
快递员 —- 外设(如UART,SPI) ! a/ G; y7 F& r' s5 {
发货室 —- DMA
; S) L7 O% X+ ^+ ~1、首先你是一个淘宝店主,如果每次发货收货都要跟快递沟通交涉会很浪费时间和精力。 9 ~5 h4 g, J9 e' Z- S0 _& K: d2 ^. h
2、然后你就自己建了一个发货室,发货室里有好多个货柜箱子,每个箱子上都写着快递名字(如果申通快递,顺丰快递等)。
+ j( h/ ]5 f+ ]; \6 K% }, w3、每次发什么快递,你就找到对应的货柜箱子,把货物放进去即可,然后跟快递通知一声。 * s8 E! c; {3 c" p; W  N
4、快递取走快件。 $ o. S- H# J" H  l4 W! B
5、如果是收货,快递直接把快件放到对应的柜子,然后通知你一下。 6 }) W4 o/ v# E* ^! W: \
6、你过来提取货物。
通过上面的方式,你可以不需要直接跟快递打交道,就可以轻松发货成功,DMA处理方式跟上面例子是一样的。
# w# e3 v9 N# ?9 l# x  Q如果下图:
20160330195757431.png - u. k+ I: o+ Z2 e- D/ O
二、STM32 DMA 配置
. x8 y; ]$ i* r/ b: {那么DMA在STM32上是具体怎么实现的呢? 我们先了解一下STM32关于DMA的相关配置。 0 u1 n1 d' A- t  l
1、两个DMA控制器有12个通道(DMA1有7个通道,DMA2有5个通道)
2 D9 w- ]% e  S9 B, R3 z5 dps:对应我们例子,就是有两个大的发货室,一个有7个货柜,另个有5个货柜。
2、在同一个DMA模块上,多个请求间的优先权可以通过软件编程设置(共有四级:很高、高、中等和低),优先权设置相等时由硬件决定(请求0优先于请求1,依此类推) . d" S0 e, c/ i. G; ~- E$ v4 K. l" A
ps: 店主可以跟每个快递公司签订协议,可以在货柜前贴上加急(很高),很急(高),急(中),一般(低), 如果同时有几个快递员过来取货,优先根据上面的优先级先取件。
3、独立数据源和目标数据区的传输宽度(字节、半字、全字),模拟打包和拆包的过程。源和目标地址必须按数据传输宽度对齐。
7 V$ z. y# d/ d- r/ yps: 指的是货件大小
4、支持循环的缓冲器管理(会把原来的数据覆盖)
5、每个通道都有3个事件标志(DMA半传输、DMA传输完成和DMA传输出错),这3个事件标志逻辑或成为一个单独的中断请求。 5 S& f( L0 i3 G. v" A; o3 @/ A6 W
ps: 送快递出现的异常情况(送到了一半,送完,快递出错)
解释到这里,不知道大家能不能理解呢。后面是具体的配置。 # e1 a) Q1 C; Q$ Y' N$ {2 S2 J) D
1、DMA 对应通道如下图 ! @# |4 b9 W4 S
DMA1:
20160330200819419.png % ?- v& t7 `% b5 i5 {& e. ]
DMA2:
20160330200857373.png , o5 b: }4 H, e
2、DMA配置 4 u$ ?% R, G9 B7 L
1)数据传输的目的地和来源
# C4 @1 y4 `9 V  Z 20160330201145343.png
4 P. W" P# Z8 P4 Z' Z对应我的例子,就是送快递还是取快递。
2)定义DMA通道的DMA缓存的大小
4 c) L- ?- N$ [' [  yps: 即货柜大小,能存多少个快件
3)外设地址寄存器递增与否
20160330201558532.png
) F( t+ ~4 e% B9 J& p# n" A& P: d
4)内存地址寄存器递增与否
20160330201731767.png   [9 I1 I9 p$ u/ [3 P0 T1 u3 t
5)设定了外设数据宽度
20160330201903861.png 2 V) ^% v* P6 T6 i. D, A
6)设定了内存数据宽度
20160330202128112.png 6 I$ r) g' C- U* h) _* X
7)设置了DMA的工作模式
20160330202255566.png / n0 ^# I- g1 C1 Z& L& v0 @: {
8)DMA通道的软件优先级
20160330202445910.png + @: N/ l7 e& K, K" @( P
9)使能或关闭DMA通道的内存到内存传输
20160330202558442.png 7 k9 q+ h+ w2 m# Y
三、 编程 - t, V. @5 m0 K7 P! j
串口用DMA方式发送和接收,分以下几步: ( K3 |' |; e+ e! t5 ?
1)串口初始化
9 B, `0 F. w2 P/ Q- z$ }! s2)DMA初始化 0 k% v" g, W+ d- T5 m
3)发送数据 $ H3 y9 _/ Q: F# W
4)接收数据
我们按部就班: ) f+ Y7 W) f7 ]
1) 串口初始化 — 使用串口一
  1. 1 #define  DMASIZE 1024
    - W+ o2 K0 q8 c/ Z: h$ U/ @+ K1 ~. O, M
  2. 2  ! r0 [3 v1 t# M
  3. 3 // 配置串口一的发送和接收的GPIO口功能,以及中断
    5 K0 p0 I2 O: U
  4. 4 static void _uart1_gpio_init(void)3 i; e' r+ @  A6 j2 A
  5. 5 {# J2 [/ {* o) s
  6. 6   NVIC_InitTypeDef NVIC_InitStructure;
    + j- S- s( [! |' R6 ]9 Y5 I/ ?, c; l
  7. 7   GPIO_InitTypeDef GPIO_InitStructure;
    6 [$ F" j3 Y, n) ?
  8. 8  % w  ^& }. d* f
  9. 9   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA   |/ a5 r4 L8 y0 c3 W; ?$ O7 K
  10. 10                      RCC_APB2Periph_USART1  |
    7 D( q0 H% G& V5 R
  11. 11                          RCC_APB2Periph_AFIO, ENABLE) ;4 \0 u8 E3 B2 d, u: y$ M1 j
  12. 12  
    3 [. x) n5 k% y/ V# l8 u
  13. 13   GPIOA->CRH&=0XFFFFF00F;
    9 L( U2 c1 z' |" ~+ F1 |5 x
  14. 14   GPIOA->CRH|=0X000008B0;//IO状态设置 10pin_上拉输入  9pin_推挽输出
    - [- m8 t7 |, \& h, e( z
  15. 15  
    * t) ^2 X- I/ j% X: Z- B
  16. 16   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    * a" s0 Z# K/ u' V# `
  17. 17     /* Configure USART1 Rx as input floating */! B- G: ~2 q9 l8 k+ o1 ^
  18. 18   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;: q  U4 E% I' X* P8 C6 K- B$ X9 G
  19. 19   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
    ) j/ ~) b7 I0 V4 I" ?
  20. 20   GPIO_Init(GPIOA, &GPIO_InitStructure);+ @0 o, g4 d8 M0 u% M# Z
  21. 21  1 s% L6 b5 H5 I% Z) @* D
  22. 22   /* Configure USART1 Tx as alternate function push-pull */  F) j* W/ P( K! N0 j2 I" g
  23. 23   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;; K8 q  t3 U( L5 F$ ^. E8 Y3 |
  24. 24   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;; h2 q# ?0 l. ^$ F+ H
  25. 25   GPIO_Init(GPIOA, &GPIO_InitStructure);
    $ j% I; L  Z  D/ w
  26. 26  # L% V% Z: Y5 S( s
  27. 27  
    0 Y8 q! [+ u. C- f" g, P
  28. 28   /* Enable the USART1 Interrupt */8 m8 j  u( h) D
  29. 29   NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQChannel;# _; {8 J8 C/ h2 [
  30. 30   NVIC_InitStructure.NVIC_IRQChannelSubPriority = 2;/ e& I3 x$ G2 f; V6 |" T
  31. 31   NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;! K% @, T4 w* v% {6 G( N* z/ _9 q
  32. 32   NVIC_Init(&NVIC_InitStructure);
    4 W) K9 z3 U) H  l
  33. 33  3 I" D# R3 y' S# u( C
  34. 34   USART_ClearFlag(USART1, USART_FLAG_TC); /* 清发送外城标志,Transmission Complete flag */
    9 N2 ~/ _2 B" f# n8 ]
  35. 35  
    8 `/ z3 @0 M$ u; T( m# w, F8 ?
  36. 36   USART_ITConfig(USART1, USART_IT_IDLE, ENABLE);// 采用空闲中断,目的是在产生空闲中断时,说明接收或者发送已经结束,此时可以读取DMA中的数据了。
    5 D' q: A0 V7 q6 j8 M7 K$ G
  37. 37   //USART_ITConfig(USART1, USART_IT_TC, ENABLE);
    3 X+ J' J. N; W. {3 q
  38. 38   //USART_ITConfig(USART1, USART_IT_FE, ENABLE);" L6 d; n# n3 v0 O2 ^; p
  39. 39 }
    ) Y  }5 Z/ A& H, s* p
  40. 40 // 设置对应串口的波特率- ]  E8 C2 M' Z7 d; i. ?) \
  41. 41 static void _uart_setbaudrate(USART_TypeDef* USARTx,u32 value)
    3 f( t; k9 y( n; e
  42. 42 {
    2 h+ U3 Q8 Q3 C, L
  43. 43   USART_InitTypeDef USART_InitStructure;
    3 r+ c0 T3 S4 y4 H$ w% t1 J  o
  44. 44   USART_InitStructure.USART_BaudRate =value;
    $ @6 @/ u4 a/ K
  45. 45   USART_InitStructure.USART_WordLength = USART_WordLength_8b;
    ! B) Z# b0 i' G  L$ T
  46. 46   USART_InitStructure.USART_StopBits = USART_StopBits_1;
    2 ~. G" h& R# @7 d9 }- i
  47. 47   USART_InitStructure.USART_Parity = USART_Parity_No;6 |3 h4 C. N1 H3 }" m/ }, J
  48. 48   USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;: i! a+ D# v: L# F
  49. 49   USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;
    1 a- ^1 w# B& e
  50. 50   USART_Init(USARTx, &USART_InitStructure);* S8 c5 m  G) S7 j& p
  51. 51   USART_Cmd(USARTx, ENABLE);
    ) X" |! @/ }: Z! j1 M# u2 P9 L
  52. 52 }
复制代码
% i" w4 z" V3 p: }
2)初始化DMA
  1. 1 u8 sendbuf[1024];3 M' D9 J  C3 Z4 o
  2. 2 u8 receivebuf[1024];; P( `5 e7 A/ S5 l. q
  3. 3 static void _uart1_dma_configuration()' c# y2 J$ T7 l
  4. 4 {
    , G3 {- d9 {0 y& F5 O; G: F: V( [
  5. 5   DMA_InitTypeDef DMA_InitStructure;
      T" K% O, Y5 l3 W7 S' `  i: p
  6. 6  
    % Y* K3 i. C* R$ [( W& R! r  |
  7. 7   /* DMA1 Channel6 (triggered by USART1 Rx event) Config */
    7 o3 q' ?* G  M: ^
  8. 8   RCC_AHBPeriphClockCmd(RCC_AHBPeriph_DMA1 ,
    7 `' I0 h' \. u4 w: E% ]+ p
  9. 9                         ENABLE);
    ; B% q, k5 _1 P% X5 p! V9 f4 q0 q
  10. 10    F5 t$ R" x. j% {- i3 R* x
  11. 11   /* DMA1 Channel5 (triggered by USART1 Rx event) Config */
    % W& W8 a6 q# R4 [4 f" n
  12. 12   DMA_DeInit(DMA1_Channel5);/ ^+ q4 W- f* v
  13. 13   DMA_InitStructure.DMA_PeripheralBaseAddr = USART1_DR_Base;// 初始化外设地址,相当于“哪家快递”  7 P. ?7 e- F- }! x* [) _; r
  14. 14   DMA_InitStructure.DMA_MemoryBaseAddr =(u32)receivebuf;// 内存地址,相当于几号柜
    ' y& P6 \+ Q' ^6 O
  15. 15   DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralSRC;//外设作为数据来源,即为收快递
    8 a+ }; J! |4 n- `* e
  16. 16   DMA_InitStructure.DMA_BufferSize = DMASIZE ;// 缓存容量,即柜子大小+ `; |. N" _  O; u2 W
  17. 17   DMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable; // 外设地址不递增,即柜子对应的快递不变' y) }! N7 x' c/ c1 A# B
  18. 18   DMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable;// 内存递增" r) T% P% N" K: r) [; l
  19. 19   DMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_Byte; //外设字节宽度,即快递运输快件大小度量(按重量算,还是按体积算)
    * y1 i+ V6 A) E9 V- q- {( l6 _
  20. 20   DMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_Byte;// 内存字节宽度,即店主封装快递的度量(按重量,还是按体质进行封装)
    # i, Y% m3 k7 S3 N+ b
  21. 21   DMA_InitStructure.DMA_Mode = DMA_Mode_Normal;// 正常模式,即满了就不在接收了,而不是循环存储4 K& z& z  o" o4 i$ O4 J+ H' W
  22. 22   DMA_InitStructure.DMA_Priority = DMA_Priority_VeryHigh;// 优先级很高,对应快递就是加急
    & w( }6 Y8 s, E! }4 \& a
  23. 23   DMA_InitStructure.DMA_M2M = DMA_M2M_Disable; // 内存与外设通信,而非内存到内存
    ) l( ^9 D+ I+ R5 `) F. q
  24. 24   DMA_Init(DMA1_Channel5, &DMA_InitStructure);// 把参数初始化,即拟好与快递公司的协议
    9 c+ V7 ]9 m: J8 V* \* ?- C( n( n5 U
  25. 25  
    2 ^5 Z+ [& E  \  I
  26. 26   DMA_Cmd(DMA1_Channel5, ENABLE);// 启动DMA,即与快递公司签订合同,正式生效
    0 C. Y) p  u: c) R# c* r6 C( \
  27. 27  
    9 Z& r" S/ ?6 O! B' \: N
  28. 28   /* DMA1 Channel4 (triggered by USART1 Tx event) Config */
    ; C# b3 @0 ~. L, h0 y; [$ Z6 l4 N" `$ m& h
  29. 29   DMA_DeInit(DMA1_Channel4);; T2 ], ?( ]; U" K; H# X
  30. 30   DMA_InitStructure.DMA_PeripheralBaseAddr = USART1_DR_Base;  // 外设地址,串口1, 即发件的快递
    " ?0 ~( K% u: R- \  N
  31. 31   DMA_InitStructure.DMA_MemoryBaseAddr =(u32)sendbuf;// 发送内存地址
    * `6 [8 l0 H" j$ o' N3 z
  32. 32   DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralDST;// 外设为传送数据目的地,即发送数据,即快递是发件1 O' [- g2 x& A- ?' c, ^2 K
  33. 33   DMA_InitStructure.DMA_BufferSize = 0;  //发送长度为0,即未有快递需要发送, d4 H+ g* F9 ?7 d9 M( z; v- J; C2 G
  34. 34   DMA_Init(DMA1_Channel4, &DMA_InitStructure);//初始化( D2 Y* J6 F1 P! P7 }' n, w8 z1 m2 ~
  35. 35  $ l3 [2 `5 I$ ]: d, {- b( K9 _, t
  36. 36   USART_ITConfig(USART1, USART_IT_TC, ENABLE);// 使能串口发送完成中断! s, X4 M$ D, F; b$ X, C! @# W) h5 L  n
  37. 37   USART_DMACmd(USART1, USART_DMAReq_Tx|USART_DMAReq_Rx, ENABLE);// 使能DMA串口发送和接受请求0 R# I' f& V$ M* V# |
  38. 38 }
复制代码
5 d  y  U* e, X8 i2 w
3、数据发送 7 p* z' x/ n$ a5 Y
流程:串口发送数据,全部数据发送完毕后,会产生一个发送中断,所以 7 l# M8 ~) e$ Z0 r
发送数据分为两部分,
4 F) R: @# y7 S- ]$ F3 KA、发送数据 & S* ?- U: p4 H3 s6 H' g* d
B、中断处理
  1. 1 A、发送数据1 `5 E* b$ v* ]5 w' U4 N8 E* f
  2. 2 u16 Uart_Send_Data(void* buffer, u16 size)/ ^1 }+ U; G/ x  m
  3. 3 {) U# O* n- g; p
  4. 4   if(!size) return 0;// 判断长度是否有效( b. \+ W+ _& Z$ P2 E- }1 t7 v# D, Y
  5. 5   while (DMA_GetCurrDataCounter(DMA1_Channel4));// 检查DMA发送通道内是否还有数据
    3 _) g7 n1 u3 H$ x1 D8 U
  6. 6   if(buffer) memcpy(sendbuf, buffer,(size > 1024?1024:size));% o: g& W5 f  ?6 T
  7. 7   //DMA发送数据-要先关 设置发送长度 开启DMA! D& \5 J4 i- j* i/ I
  8. 8   DMA_Cmd(DMA1_Channel4, DISABLE);* v- [) x% |) G. i
  9. 9   DMA1_Channel4->CNDTR = size;// 设置发送长度
    ! z! M- C' r+ \- b/ b, X+ ]
  10. 10   DMA_Cmd(DMA1_Channel4, ENABLE);  // 启动DMA发送6 ~9 Q  R, H* i2 `3 n3 _" S% l# I
  11. 11   return size;9 Y  ?. m% D$ }  B& F4 a
  12. 12 }
    1 N- W/ {0 W/ M; W- \' A
  13. 13  & P4 x$ Z5 R% D7 |( b2 O' K
  14. 14 B、中断处理6 m. q2 Z2 r; H, s: g
  15. 15 1)中断处理相关准备工作7 j4 x5 _1 h" F. `3 @( y& |# _
  16. 16 typedef enum _UartEvent_
    $ ~  a5 r0 H" B) c& O% c
  17. 17 {
    : ]8 J/ m& t/ k: m2 W4 @
  18. 18    E_uart_0 = 0,// 没有事件
    ) P* w# n$ n- _! |9 z& {
  19. 19    E_uart_tc=0x40,                  //发送完成0 |& j7 f2 d+ f5 Q$ ?8 J
  20. 20    E_uart_idle=0x80,               //接收完成
    , E1 A5 W0 h$ o
  21. 21 }UartEvent;
    6 G; s. e% a! Z/ R- W
  22. 22 u16 receivelen = 0;// 声明接收数据长度) a5 Z1 s2 r. `6 Q
  23. 23 UartEvent event;//申明一个事件参数! |& ?# F: l4 S4 A1 e
  24. 24  . @% ?% K' W# Q: @  U, @3 v% g
  25. 25 //清除DMA 缓存,并终止DMA
    6 D/ H+ D) x" u; H- z2 O/ ?" f
  26. 26 void Uart_Dma_Clr(void)* A! g$ e6 |) ~0 U5 x
  27. 27 {
    ( K4 A5 V3 t; d' Q! `9 q
  28. 28     DMA_Cmd(DMA1_Channel4, DISABLE);
    $ S1 g( J$ [( j3 R9 g' ~3 ?
  29. 29     DMA1_Channel4->CNDTR=0;7 E6 W' T% u8 G! d0 \
  30. 30     DMA_Cmd(DMA1_Channel5, DISABLE);2 `6 K; y! r% B* _4 ~
  31. 31     DMA1_Channel5->CNDTR=DMASIZE ;# |1 P( H; G% j* @" _+ u
  32. 32     DMA_Cmd(DMA1_Channel5, ENABLE);
    % B: |/ w" P) D0 Z. y! t5 i
  33. 33 }
    5 F. u9 c; L3 G
  34. 34 // 获取一个事件,事件分为发送完成事件和接收完成事件,可以根据事件进行进行处理4 t# L- T" h- ?
  35. 35 UartEvent Uart_Get_Event(void)
    7 T7 h6 {. I8 p/ c$ e# I
  36. 36 {2 E5 ?3 {) V/ \
  37. 37   UartEvent e;- B. V& }7 d; x: L) r0 ?1 ^( J
  38. 38   if(!DMA1_Channel5->CNDTR) Uart_Dma_Clr();// 如果产生一个事件后,接收数据通道已经没有了缓存空间,进行清除DMA清空
    - D- M, D) Y7 t0 w8 |4 j
  39. 39   return event;
      E2 s: x* J4 L3 P
  40. 40 }3 s: @2 U- S" Q
  41. 41 // 清除对应的事件: S: @6 `1 E2 {2 Y" A9 X0 Z
  42. 42 void Uart_Clr_Event(UartEvent event_in)
    3 {9 h7 r7 P; z5 E
  43. 43 {+ P; Q6 ~) x/ l) D* F; R' m
  44. 44     event&=~event_in;
    $ Z- \  H/ S" O& Y8 [  a  E2 K9 N
  45. 45 }
    6 ^% k% @0 s# S  m. v8 C
  46. 46  
    ) [, z. {+ J) `8 e
  47. 47  ' p0 ^- R+ \6 V1 C
  48. 48 2) 中断处理,当所有数据发送完毕,串口1产生一个发送完成中断$ A7 w) X* ^% \
  49. 49 void Uatr1_Back_IRQHandler()
    / \# q/ t9 R: o) \
  50. 50 {1 s) W2 t3 E- c( C3 p6 U- o, }  m
  51. 51   u8 tem;1 \; ]9 u% u) f) X  C; W
  52. 52   if(USART_GetITStatus(USART1,USART_IT_IDLE)!= RESET)4 ]  g9 c/ m. A4 ~0 B% W
  53. 53   {
    4 F, [# w) ^( b: s! s" y. a$ C8 v9 h
  54. 54     tem=USART1->SR;//先读SR,然后读DR才能清除6 b6 p$ j; ~4 O' A
  55. 55     tem=USART1->DR;
    4 e" Y' j  E) P! _4 N# u
  56. 56     tem=tem;
    * _; J: e) h, l3 R
  57. 57     Uart_Set_Event(E_uart_idle);
    4 b9 U7 G9 r8 T) Y: u
  58. 58     receivelen =DMASIZE - DMA1_Channel5->CNDTR;// 总的buf长度减去剩余buf长度,得到接收到数据的长度
      X8 ^% p2 X8 @+ r
  59. 59     USART_ClearITPendingBit(USART1, USART_IT_IDLE);. J" J9 ]9 k9 n: G. G! ]
  60. 60   }
    7 s- X2 x& `: E
  61. 61  ( U5 ^3 s2 H4 r: [1 P9 E! c
  62. 62   **if(USART_GetITStatus(USART1,USART_IT_TC)!= RESET) // 全部数据发送完成,产生该标记**$ t2 {: C$ K2 L+ M: E+ G
  63. 63   {. f  \5 K  L' U- g' u' H# \( p
  64. 64     USART_ClearITPendingBit(USART1, USART_IT_TC);   // 清除完成标记) J) g4 O5 M9 c/ U) g8 N/ a7 U
  65. 65     DMA_Cmd(DMA1_Channel4, DISABLE); // 关闭DMA
    6 }, a& d6 ]) {
  66. 66     DMA1_Channel4->CNDTR=0;          // 清除数据长度5 Q  D6 |0 @8 ]
  67. 67     Uart_Set_Event(E_uart_tc);     //设置发送完成事件8 T- d7 A8 J0 ?5 c* V
  68. 68   }   K: H: F/ ~* U; b  N4 C/ k
  69. 69 }
复制代码

/ o9 S$ R* U6 X- y4 F4 X8 Q+ \0 K% ?6 }0 ]8 Y" k' D
4、接收数据
$ q  z' r" s; i+ f% L" K! _+ S$ V 20160331112633230.png
# j& n- T9 B/ g: O根据上图描述,流程如下:
& _4 w* N- l8 u' U, |. C1、串口接收到数据
8 a' F' i5 w8 P1 x5 Z2 ]: N5 W# Q3 A2、DMA自动取走数据 3 ]- \: I% H5 `! A9 c
3、DMA把数据存到内存receive[1024]中
! a3 |2 M0 }8 j7 T) }. a 20160331110254252.png 2 ]' E) F) C4 f! o% o
4、串口接收完毕后会产生一个空闲中断
根据上面流程,我们接收数据需要做到两步:
. A6 I( c1 Q0 [( H3 D1)串口产生一个空闲中断后,设置一个接收完成事件
, n$ f- I. ]% T, U) E中断处理:
  1. 1 void Uatr1_Back_IRQHandler()
      i2 q7 b1 [) a0 O: E  _
  2. 2 {
    ! Q. I* W) I8 Y0 ^0 K& o* L! S
  3. 3   u8 tem;, ^9 a0 x+ ]4 U' R: K% j& v
  4. 4   **if(USART_GetITStatus(USART1,USART_IT_IDLE)!= RESET)**) `" O( d! Z1 e
  5. 5   {
    * V" h% x- U5 F
  6. 6     tem=USART1->SR;//先读SR,然后读DR才能清除4 |, R( N) w. @( B; ~
  7. 7     tem=USART1->DR;// 清除DR 2 E# g3 S$ ^/ u' k' O9 Z& X2 B
  8. 8     tem=tem; // 防止编译器警告- m7 o$ z% M/ k. E$ |
  9. 9     Uart_Set_Event(E_uart_idle);// 设置接收完成(空闲)事件6 z( _8 C1 ]( t! w6 R$ J" I
  10. 10     receivelen =DMASIZE - DMA1_Channel5->CNDTR;// 总的buf长度减去剩余buf长度,得到接收到数据的长度0 F) O& @0 }. n3 ]
  11. 11     USART_ClearITPendingBit(USART1, USART_IT_IDLE); // 清除空闲中断1 e. Z" K" F! f/ ]  L$ ?8 _# n( X  ?
  12. 12   } & [' \% r" {) x/ D7 K! a0 g" x
  13. 13  / C1 Z* U4 _1 d
  14. 14 if(USART_GetITStatus(USART1,USART_IT_TC)!= RESET) // 全部数据发送完成,产生该标记  0 Q5 P& g( i/ y* ~& X6 X* V
  15. 15 {
    5 {' z* g' K0 ~) V" @) h" i' W, X
  16. 16     USART_ClearITPendingBit(USART1, USART_IT_TC);   // 清除完成标记$ N  P; z3 K7 [
  17. 17     DMA_Cmd(DMA1_Channel4, DISABLE); // 关闭DMA
    : A2 X$ {& y  a/ |& g8 \- Q
  18. 18     DMA1_Channel4->CNDTR=0;          // 清除数据长度
    3 \) ^2 z3 p  f0 W
  19. 19     Uart_Set_Event(E_uart_tc);     //设置发送完成事件: W4 I  g1 |( T1 C% b. C' C
  20. 20   }
    2 Y1 V* g& v; O2 k
  21. 21 }
复制代码

# I& l7 b5 e* V- O8 U  I
2)接收数据函数检测事件,如果发现是接收完成事件,取走数据,并且做相关清除操作
  1. 1 u8 Uart_Receive_Data(u8*recbuf u16 *revLen)# M  z: h2 ?- S7 Q% h1 d0 M! e& R
  2. 2 {$ I" x, m6 u" u+ |3 t# }
  3. 3     u8 *str;
    4 E$ o. n4 H' g
  4. 4     if( event & E_uart_idle) // 是否产生空闲中断- r8 Y% w+ s  Q
  5. 5     {
    . l1 H3 b$ S  j6 ]
  6. 6         str = Uart_Get_Data(revLen);    - X; C+ W$ H) |( g
  7. 7         memcpy(recbuf,receivebuf,*revLen);
    1 H8 F! y5 W3 q" e% H
  8. 8         Uart_Clr_Event(E_uart_idle);5 e8 D( ?; ~( h! f* r# X
  9. 9         Uart_Dma_Clr();
    $ Y! V) G% `: Q- Y
  10. 10         return TRUE;
    2 b. |, ]7 J7 F, ]- X1 }) M0 L
  11. 11     }
    : |, ]' U3 |/ n2 k7 c" m8 g
  12. 12     else
    " Y! d: X3 @  N! j& \4 S
  13. 13     {
    ; b7 h( `4 {, A3 t; z5 ]; y
  14. 14         revLen = 0;3 E. a. M9 X& c% U/ Q
  15. 15         return FALSE;( F, S; H/ n$ z' N( C+ Q& O6 m$ R; ^
  16. 16     }
    " z! l6 x( ]7 \$ a" D: |
  17. 17 }
复制代码

* O; Y3 o* {* _5 w
好了,到此DMA已经讲完了,有点长!!!
6 ]; ?6 A( w; `% w- B小结:
+ Q0 |0 d0 K& n+ Y7 \: c5 ]1、DMA其实就是个自动缓存器,数据来了,缓存到指定位置。发送数据则把缓存数据发送出去。
) Z& p0 k9 |" c/ Q6 t9 o! l+ V8 d2、串口空闲中断,实测在接收完成数据后,空闲闲置时产生的,而发送数据不会产生该中断。 3 U" C! x, m) w- [  [
3、串口发送完成中断,实测在全部数据发送成功后,才会产生中断。

4 F' L) c7 Y$ A. v& b3 l+ }) i. v* Y
收藏 评论0 发布时间:2022-1-14 23:05

举报

0个回答
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版