你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

【STM32小案例 01 】实现DHT11与0.96寸OLED的动态显示

[复制链接]
aimejia 发布时间:2018-6-1 13:30
学习STM32F103系列差不多快到两个月,本实验中的驱动都是自己写的库,几乎是0移植.. J9 `4 D$ k" \% I7 J

4 N2 ]8 X) d! J4 `7 ^; A先贴图:
1 ]# E% ~4 B- ^; V! {' Y( F1 |1 K3 Z4 h! T
(因为我近期在做的项目就是智慧猪栏,说白了就是物联网养猪,所以我这个显示屏提示的标题就是这个)! `6 n$ s/ |. s* C5 [4 d
! Z5 s/ o0 J3 `6 B7 t, u6 ]- j
1.jpg
" y0 H8 b- [1 o. N: X7 A
现在将具体项目是如何完成的,其实非常简单.
( S0 E& A( Y3 P' y! Z5 q4 R$ v$ a$ H7 @0 g& |
1.仅需要的模块是 STM32MCU GPIO RCC SPI Delay OLED 这几个7 ]( B, \0 ]. V/ B6 Y7 c  z& a

% }4 r2 g) c* l5 w2 l6 x$ ~2.先完成DHT11的库编写,就根据DHT11的用户手册来写就没有问题,先保证DHT11能够正常工作,读取温湿度! Q' V) K. e. {  b9 J1 s  U& j
6 m) h. A; t/ T& @8 l# l1 a
2.png
# t  ]. Q6 F( d- {; a
3.完成DHT11能够正常的读取温湿度通过USART串口发送到计算机(主要是保证DHT11能够工作)
" j- e& O  U9 M7 F
% E, j& W% F8 T% E4 ~& YDHT11.c 代码如下6 e7 `3 Y% U4 ?4 @7 _
  1. [cpp] view plain copy
    6 E, k. s* }7 t! D. c- @  N) J3 j
  2. /****************************************************************************************** 3 R" S# T/ g! c" }* h0 U
  3. *    DHT11温度传感器的驱动库文件   V1.0 创建人张超  创建日期  2017年7月4日
    + J9 B% d! b0 H8 o# p
  4. *    简介: ' z7 S/ Y9 a1 Y! d7 f
  5. *    1. 一个很简单的温湿度控制器模块,就根据DHT11官方的使用手册来配置MCU与DHT11联系引脚的电平即可
    / c6 v) @8 y+ h2 |* @
  6. *    2. 根据其用户手册来说: " g3 M& F6 c* t- q
  7. *    (1)[ MCU为输出模式],拉低引脚的电平超过18ms就提示DHT11开始检测
    ' k4 U- h1 o* |/ E9 h. Z6 a/ b* s8 Y
  8. *    (2)MCU拉低电平后再拉高电平20-40us等待DHT11信号 * n* b: t' F  P2 r
  9. *    (3)DHT11检测到MCU的信号后就发送响应信号,此时[ MCU为输入模式]
      f$ \0 L- Y- U& {  J
  10. *    (4)DHT11拉低总线保持80us给MCU发送响应信号,再拉高80us表示响应结束准备发送数据 ! r! J, j$ @4 v' {/ S! [. y& i5 u
  11. *    (5)DHT11没发送的1bit数据都是以50us低电平开始
    / q9 O6 G. \$ T$ @) ~
  12. *    (6)DHT11表示0的是 : 拉低50us开始 再拉高26us - 28us 结束本次bit传输
    4 B/ Q" s0 K; d( r/ l2 U
  13. *    (7)DHT11表示1的是 : 拉低50us开始 再拉高70us 结束本次bit传输 $ O+ i( D0 [  ?" I3 O
  14. *    (8)调用STM32官方库函数的GPIO_ReadInputDataBit(DHT11_GPIO,DHT11_PIN)来读取DHT11引脚的电平输入就可以知道DHT11的温度检测数值
    * i% ]: M, J0 E# {2 L# v4 v
  15. *    (9)DHT11会一次传递5个字节,传递数据的流程分别是: 湿度高位;湿度低位;温度高位;温度低位;前四项的校验和
    * {6 g4 g: S6 p3 ^! O* ]
  16. *    (10)把DHT11采集到的温湿度值每次全部都赋值给 定义在头部的u8全局变量 5 \1 t) q; ~5 K6 ?: P
  17. *    (11)最后声明两个函数用于最后的温度和湿度数据的收集保证函数的整洁性 ) v+ x% d8 F+ r4 ]  Z1 c; i! X2 r; n
  18. *    (12)直接在main主函数导入dht11.h的头文件,再调用该dht11.c的相关函数即可使用DHT11的采集数据(因为DHT11采集的是数字信号所以可以直接调用)
    . z8 W* ?# d" w1 H0 A& l
  19. *    该说明创建于2017年7月4日,创建人teavamc,未经允许不得使用商业用途
    7 o: o; o9 u0 Z( y, ?  R/ O  m" v/ ?
  20. */  
    1 v  w* ?9 _# F$ Y! ]: K
  21.   ! h6 m  a. C8 M2 G5 t2 b+ D- z( a6 W
  22.   
    % M  G1 d, J% A& F
  23. #include "dht11.h"  //导入头文件dht11.h  
    7 D- L$ q0 U; j2 W8 _4 J+ y
  24.   
    $ h  o, g# A" |5 c3 t+ u* \
  25. static void DHT11_Input(void);  //声明static函数DHT11_Input,用于配置DHT11的引脚为输入模式  
    9 A4 A) |; k: h0 x
  26. static void DHT11_Output(void); //声明static函数DHT11_Output,用于配置DHT11的引脚为输出模式  
    9 ^# r& L9 c( a3 p
  27.   % S" M$ K" Y$ R4 [* m/ k
  28. /*定义全局变量,分别用于统计湿度高位;湿度低位;温度高位;温度低位*/  
    1 c& S" q, I* ?9 v
  29. u8 DHT11_hem_high,DHT11_hem_low,DHT11_temp_high,DHT11_temp_low;   ) h9 U8 W+ ]' _8 @# {& x
  30.   
    . O* T8 E0 X7 z& w: D6 b
  31. static void DHT11_Input(void) //设置DHT11数据引脚的输入模式的配置  9 y! L8 O% A( o9 j
  32. {  / k" C  O/ b" ^1 W
  33.   GPIO_InitTypeDef GPIO_InitStructure;  
    " g+ X8 }( J# `3 N) A  H
  34.   RCC_APB2PeriphClockCmd(DHT11_RCC,ENABLE);  //开启GPIOD的时钟  
    1 v4 f/ k. }9 Q0 W  y, [
  35.     , f+ v8 U- W) p3 T. g8 u
  36.   GPIO_InitStructure.GPIO_Pin = DHT11_PIN;  //设置引脚为PD13  % ~. Q: [1 U% z: Q. m, s* i2 c
  37.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;  //速率50MHz  % p. Q2 u! P: u
  38.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU; //配置输入模式为上拉输入模式  , ?- n2 ]+ X! R
  39.     ) t/ H1 b8 U3 Z" N
  40.   GPIO_Init(DHT11_GPIO, &GPIO_InitStructure);//把上面的配置初始化  
    $ N: Q! \- h. ^1 O2 I0 c
  41. }  
    1 |. y" H2 f6 f% p
  42.   5 q' ?: k, y# y- F4 r
  43. static void DHT11_Output(void)//设置DHT11数据引脚的输出模式的配置  8 L$ k; o- R- B6 E0 R
  44. {  . _( d/ U) L# @( F& ^
  45.   GPIO_InitTypeDef GPIO_InitStructure;  
    3 _/ `+ u, z, @5 x. d
  46.   RCC_APB2PeriphClockCmd(DHT11_RCC,ENABLE);//开启GPIOD时钟  
    $ O9 k2 Q# H4 K% X7 X+ R7 W
  47.    
    9 |* q* A$ Y/ {
  48.   GPIO_InitStructure.GPIO_Pin = DHT11_PIN;//设置引脚为PD13  
    ! w7 ?, O/ Z3 g$ G
  49.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;  " W2 V; F$ o  b$ z$ {
  50.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;//配置为推挽输出  8 K' A2 e) u9 [7 g
  51.     / d9 Q4 p/ S- c4 }6 g
  52.   GPIO_Init(DHT11_GPIO, &GPIO_InitStructure);//初始化  1 `6 V- X' l( }( T) ^" k1 b& H9 a
  53. }  0 \* C/ Z. u. o# V
  54.   + q' n. @: z7 O- T1 k# m3 D  y
  55. /*从这里开始就根据DHT11使用说明的流程进行,确保万无一失*/  
    1 A1 ?" }& M, F3 s  S2 ~" H4 ?/ Q5 P
  56.   * @. f/ H( R$ F& j" ^( b0 n; S
  57. void DHT11_Start(void)//DHT11的开始程序  / s) T7 A0 y+ H. i8 i. \  a* M
  58. {  0 F# R  r# `" @: v: ?2 a
  59.   delay_init();//调用Systick,开启  
    / M' ^* W; H4 o7 T5 P
  60.   DHT11_Output();//设置为输出模式  
    " I# d5 B7 e3 C& O7 Y( o: l
  61.   DHT11_hem_high   = 0;  
    6 M& z: {( O9 G, u1 W
  62.   DHT11_hem_low    = 0;  0 F9 ~! w, W8 ^8 i8 `/ C) P; v
  63.   DHT11_temp_high  = 0;  - ]3 B9 t6 n( Z6 y% w
  64.   DHT11_temp_low   = 0;  ) D. F9 [6 |0 V5 ~0 Z
  65. }  
    0 t3 x; e4 i$ g" `) _
  66.   5 S$ Z' r  w: c& i8 |
  67. u16 DHT11_ReadByte(void)//读取DHT11每个字节的函数  ' I. Y" {4 m0 Z9 T% j6 I
  68. {  
    ! e# [* s; P& r" q- X  c
  69.   u8 temp=0,i,cout;//三个变量的含义(1)temp用于储存数据(2)i表示字节的位数(3)cout用于保证执行条件或函数  * T, d+ [- |1 `+ C6 I# r
  70.   DHT11_Input();  ) d  p9 B; J9 ~- j! ], v
  71.   for(i=0;i<8;i++)//这个for循环的意义就是依次读8位字节,所以只执行8次,  
    - q8 B, T1 \9 t8 Y* s
  72.   {  
    + d* z. X3 K% M) M$ s5 b
  73.     cout=1;//确保执行while  
    ' l- w  V" z! H, p1 d
  74.     while(!DHT11_ReadBit() && cout++);//当 [读取到PE13的电平不为1 且 cout++都为真] 的条件下执行循环,否则跳出循环  
      x) ]7 @& o: U6 I
  75.     delay_us(30);//延时30us,再读取PE13的状态    g: P/ p. z6 w: |1 ^
  76.     temp = temp << 1;//表示把上次的temp的值左移一位,因为DHT11读取的数据是先出高值再出低值,  ) h) n1 n: \1 U  R& J- q' ^
  77.     if(DHT11_ReadBit() == Bit_SET)//如果 [ 读取到PE13的电平等于Bit_SET的值 为真 ] ,则执行表达式  3 U  m# Y& d8 c$ o) U
  78.     {  3 G3 E$ t$ T/ h- q# ~- s0 |3 b
  79.       temp |=1; //temp=temp|1,进行或运算,有1得1  
    0 r' ]# F) Z: d" ~
  80.     }    e/ |" M7 z# |
  81.       + r% @3 e3 a/ {1 D6 e6 Y( S; R
  82.     //等待输出的电平为低电平,进入下一位数据接收  
    7 u1 C* l" p5 s8 K% V4 Q0 W* p
  83.     cout=1;  4 x+ Y$ o' _4 F
  84.     while(DHT11_ReadBit() && cout++);  
    ' x, B" P$ w) f% I. R- i8 y. {
  85.   }  0 ^# x9 n: J* v" P" a
  86.   return temp;//返回接收到的temp  
    9 E) l$ N5 _0 q0 H
  87. }  0 n7 J" h6 |2 H1 W
  88.   3 o# A; J  V1 }- {. \1 n
  89. u16 DHT11_ReadData(void)//读取DHT11的数据,把读取到的自己整合  * a, X, a0 O) Q! ^- B7 `" {
  90. {  
    4 V: i  ]9 |; a. ^. o3 i3 T
  91.   u16 cout = 1;//用于保证语句执行的变量  5 Y4 J, K) k9 Z. [
  92.   u16 temp_high,temp_low,hem_high,hem_low,Check;//用于整合字节的变量,分别是温度高位,温度低位,湿度高位,湿度低位,校验和  $ ~& V, q/ J) H4 c
  93.    
    # t, a2 t: H% w! h2 r) b3 M1 Z' j" w. K
  94.   DHT11_Output();//把引脚切换为输出模式  " h% R- a3 B1 d+ z$ n1 ^  B
  95.    
    ) U( O. d& y: J( ?
  96.   DHT11_ResetBit();//拉低引脚电平,就是输出高电平  $ O3 _) @5 ^+ {; j1 E
  97.   delay_ms(20);//持续20ms,数据手册上说要至少拉低18ms,保证DHT11能够检测到起始信号  * U& E8 t" O: f5 u; R! j5 I
  98.   DHT11_SetBit();//抬高引脚的电平;也就是输出低电平  
    * X% x- ]3 L' k+ M
  99.   delay_us(30);//持续30us,数据手册上说的区间是20-40us  
    + Y, }- i$ ~$ }( L+ E
  100.    
    2 Y: u& t- k4 r- D9 H: D% r. x! U4 |$ T
  101.   DHT11_Input();//设置PE13为输入模式  
    - q) \, c- X. G  Q
  102.     ! G, a# r7 [5 D* M5 c/ y- A2 J& X1 v5 X
  103.   /* 如果MCU采集到PE13的数据输入是低电平的话则执行表达式 */  
    ; }- H, w0 J4 t5 Y: I" Z
  104.   if(DHT11_ReadBit() == Bit_RESET)  
    $ L( Z1 O; `" y6 T) M( e( P
  105.   {  + U$ e7 c4 T# p+ s/ ?0 X
  106.     cout = 1;//低电平响应信号,等待DHT11响应完毕  
    4 o) H2 m5 N  r% p* z
  107.     while(!DHT11_ReadBit() && cout++);  
    ! C, ~- H0 X* S. s' X' D5 k/ Q! }
  108.       
    1 O- Z7 ?3 J& `1 }% t
  109.     cout = 1;//高电平传输数据,等待DHT11传输完毕,持续26us - 28us表示的是0;持续70us表示的是1  4 I* Y$ ?6 ~2 U, j) W
  110.     while(DHT11_ReadBit() && cout++);  * |' |% S. ^# h; E9 l
  111.    
    7 S* W' K0 j: ]: Z) k
  112.     /*开始按顺序接收DHT11的回传数据*/  1 {1 P7 o  N0 R/ E
  113.     /*根据DHT11的使用说明一共会接收5个字节的数据*/  
    4 o; k' z3 o' X8 U$ I+ ^' y5 i+ \6 o
  114.       7 p4 m5 I; h% k- `. P8 a
  115.     hem_high = DHT11_ReadByte();//第一个接收到的是湿度的高八位,温度整数数据  
    ( o% n& V$ \' ~
  116.     hem_low  = DHT11_ReadByte();//第二个接收到的是湿度的低八位,温度小数数据  
    3 |  \: c2 M0 c7 ?7 a
  117.     temp_high  = DHT11_ReadByte();//第三个接受到的是温度的高八位,湿度整数数据  5 x$ P% _. b$ Y
  118.     temp_low   = DHT11_ReadByte();//第四个接收到的是温度的低八位,湿度小数数据  5 z) i8 D3 {8 _# a
  119.     Check = DHT11_ReadByte();//最后接收到的是前四位的和,用于校验,确保精度  & {3 M7 g* j0 ?. p" H2 `
  120.        - ~4 o7 {, @2 T( S* s2 @9 z
  121.     if(Check == ( temp_high + temp_low + hem_high + hem_low ))//校验和.如果前4个字节加起来的和等于Check  
    0 P1 z  L! {. o' f+ Z  p2 a, @
  122.     {  : v. j- u0 u- G" r0 \
  123.       DHT11_hem_high = hem_high;//写入湿度整数变量  , |$ R$ r  T/ F6 g  N
  124.       DHT11_hem_low = hem_low;//写入湿度小数变量  
    1 e9 n6 q! B3 m: }/ M% }
  125.       DHT11_temp_high = temp_high;//写入温度整数变量  
    - A9 z2 M! s, N2 U* @
  126.       DHT11_temp_low  = temp_low;//写入温度小数变量  $ O2 m/ V! L2 Z/ b3 i: z
  127.         9 ?, u; H, T+ A
  128.       return 1;//返回1,确保有效,表示成功采集一次数据  
    $ v+ b" I: z3 [1 j0 Z% @( Y4 c
  129.     }  
    . \# r; P: ?1 E% a, Z, Y
  130.     else  0 D) A( d9 f( Q/ h- m
  131.     {  
    # ~( }/ X$ v) R
  132.       return 0;//若不正确,否则返回0,表示未成功采集数据  
    ! B0 q1 o5 j6 g9 l; ]* o
  133.     }  
    * `' f4 i6 C3 T+ L
  134.   }  
    9 K: B; ^$ n3 ?, R; d3 m$ `
  135.   return 0;  ! ?' A7 \: u& ^' F
  136. }  + \. _* ^3 G6 K4 G$ z+ w' Z
  137.   ) c2 x4 n; f4 i& q+ s& }- H0 j
  138. u16 DHT11_GetTemp(void) //获取温度值  
    # @7 D8 [! Q8 k9 k' r
  139. {  
    6 A' L) X. {# B; Y
  140.   /*  这句话的意思是 */  
    8 K1 r6 i/ ^" _& ]' C
  141.   /* [把DHT11_temp_high左移8位] 再与[DHT11_temp_low]进行 或运算,有1得1   */  
    & V" D2 {6 J3 V' _2 i8 i0 D
  142.   /* 因为DHT11只能采集整数数据,所以做了或运算后还是原先采集到的整数数据 */  
    & i) E" u* Z. W: z  a5 ^
  143.    
    " e, |9 x7 a$ c/ ^" T
  144.   return(DHT11_temp_high <<8 | DHT11_temp_low);  ; F. E3 G$ X% X, K  i/ Z
  145. }  
    8 g9 j' @8 c8 x8 s: D7 X+ R$ ]* K" t4 W
  146.   
    ; k5 v7 [- W  l3 U, J# Y
  147. u16 DHT11_GetHem(void)//获取湿度值  ( k) d$ d; r8 q
  148. {  
    5 O5 P3 o! v+ Z( L7 v
  149.   /* [把DHT11_hem_high左移8位] 再与[DHT11_hem_low]进行 或运算,有1得1   */  # U- f. i2 S: N$ ?- ]2 T
  150.   return(DHT11_hem_high <<8 | DHT11_hem_low);  
    + C& @: e2 y1 z; V+ b, f5 ~
  151. }  
复制代码
备注已经写的非常详细了,C文件写完再写一个头文件,最后再main.c文件中调用就可以了。
' `; e; X$ k2 J( Y: c% \1 D3 e, _9 C) {5 V) l
------更新:----
% ?. n6 Z8 i! G1 \/ v2 t( c5 `. z( |: T& C! H$ E0 w4 D- u7 }, @
贴出我自己的DHT11.h的头文件
, U0 ]6 J7 O" O$ B
; |) M- g8 N- g) G! _2 ?' n4 i大家只需要更换相对应的引脚即可:0 f2 q6 ~& I2 n' N/ H* t: F
  1. [cpp] view plain copy+ i6 x/ N: k8 i0 o) i% |$ A6 u
  2. #ifndef __DHT11_H  //如果未定义__DHT11_H  
    + e+ h5 p1 M$ }0 e5 }0 b& U
  3. #define __DHT11_H  //初始化__DHT11_H  ( B, M  F- z0 K4 r' e+ q3 I2 x6 ?
  4.   
    ) w7 h* z. U- [8 z% N
  5.   
    " C2 }! d, n0 K" f
  6. #include "stm32f10x.h"       //调用STM32官方库  : {$ w" q% C$ w3 l6 u9 ]
  7. #include "stm32f10x_gpio.h"  //调用STM32的GPIO库  
    / W# c9 x, K8 r. Q
  8. #include "stm32f10x_rcc.h"   //调用STM32的RCC库     
    9 @, \1 e. _9 d8 j$ t% w
  9. #include "stdio.h"           //调用C标准库  ' X$ \- n+ Q1 B3 [; ^! b2 e
  10. #include "delay_other.h"     //调用延时函数  - _5 a5 q1 J$ L5 o3 \
  11.   " V9 o- y1 n; D$ j/ x
  12.   9 ~! N! P" q% z8 N6 {7 t) @; H8 U
  13. #define DHT11_GPIO        GPIOD  //定义DHT11_GPIO的引脚是GPIO_D  $ v8 ]+ [% S1 _8 y# F, {6 t/ _- h
  14. #define DHT11_PIN         GPIO_Pin_13  //定义DHT11_PIN的引脚是GPIO_Pin_13  
    $ h  R+ |$ q1 ]9 i! g/ \* ~
  15. #define DHT11_RCC         RCC_APB2Periph_GPIOD  //定义DHT_RCC的时钟是GPIOD  
    ' {0 v  ?( r' M( l/ N# t
  16. #define DHT11_SetBit()    GPIO_SetBits(DHT11_GPIO,DHT11_PIN)   //设置DHT11的引脚输出高电平  
    6 |6 z9 t: F: {6 b) ~1 o
  17. #define DHT11_ResetBit()  GPIO_ResetBits(DHT11_GPIO,DHT11_PIN)  //设置DHT11的引脚输出低电平  / C0 |* a& G" C$ F' d" d; Z
  18. #define DHT11_ReadBit()   GPIO_ReadInputDataBit(DHT11_GPIO,DHT11_PIN)  //设置MCU读取DHT11的引脚电平  : A+ O; W; _  M  @! Y' Z% A
  19.   8 O' ]  u; Y1 L$ f% m; I$ C8 g/ L
  20.   
    * w- N' N) Q7 M: G9 O5 u
  21. static void DHT11_Input(void);  //声明静态函数DHT11_Input  
    8 k/ g& U" b7 o; N: S0 E
  22. static void DHT11_Output(void);  //声明静态函数DHT11_Output  
    $ e3 ~7 m3 u6 G' n# u- d- [
  23.   ; A; u6 G# Z4 `: {
  24.   9 h3 L, r, a3 ~3 k+ ?
  25. void DHT11_Start(void);  //声明函数DHT11_Start,用于初始化DHT11  ( A+ H. \9 Y  E% N' f, }! l9 R( G: Q
  26. u16 DHT11_ReadByte(void);  //声明函数DHT11_ReadByte,用于读取一个字节的数据  
    " S' P/ r, V% }$ x
  27. u16 DHT11_ReadData(void);  //声明函数DHT11_ReadData,用于读取DHT11一次的总数据,一共5和字节,每个字节有8个位  
    ; T) X: k" X: c1 {$ }  u) @0 Y5 U9 w
  28. u16 DHT11_GetTemp(void);  //声明函数DHT11_GetTemp,用于总结温度变量的函数  
    2 Z+ ]9 `, D4 |. l8 K9 B) C) h
  29. u16 DHT11_GetHem(void);  //声明函数DHT11_GetHem,用于总结湿度变量的函数  2 W$ C9 C' L1 y- M/ m
  30.       
    1 S! Q" u) s  ]9 l0 y
  31. #endif  //用于结束定义  
复制代码
------更新完毕-------9 s# L7 \1 s( j+ j/ u; o

' c6 k  q7 i' K: [' ?' k4,再进行OLED库的编写,其中可以借鉴相关的很多案例,你买OLED应该有商家最开始提供的案例- f# h: J; B4 f
5 F2 {/ H, l- D
5,其中OLED库的编写要注意三部分 :
8 Y8 Y, l6 P0 r( H0 u
7 V& \  }: G5 Z+ Q% w( a(1)字库,有了字库OLED才知道如何显示,字库的生成用字库软件。
7 E* Y; N$ _: Z) ~/ @  y
1 Q5 O3 L' r7 F, H4 s; w- |: v# Q
3.png
5 T  `7 y& g- A! {. V
- X; Z1 a1 j6 \3 |6 C; K5 F
(2) 显示函数, 就根据自己的实际情况来保证显示屏的基本的运行就可以了
1 J7 u1 w$ o2 O6 C. C* Y4 E. c, f  \5 a2 P( U  J4 g5 I
(3)OLED显示屏的SPI或者I2C的设置。
7 r/ ~) p9 B8 v' g" k+ A$ S0 @+ A) f  Q8 B3 B$ U6 _& c0 G9 ], D5 \1 L
这里就要认认真真的配置好SPI或者I2C的通信协议 和 OLED的引脚串口 ,有书的直接看野火或原子的书中相关部分,很容易就能配置好, OLED是配置好才可以点亮, 并不是插电就亮; e! W2 V& r/ U7 Q1 M

. x1 ~( f) y  ]& T# K/ l6, 最后就是在主函数联动 DHT11和OLED了 因为DHT11输入的是数字信号 所以可以直接调用
: p# N8 C; k6 q* q
& V6 b# |5 u; g: t0 j贴上我的mian.c函数  t' M- v0 v, l: Y  u9 B4 U

. |! W- N5 t, l
  1. [cpp] view plain copy
    4 p& O" L4 B8 I. H1 _9 x
  2. #include "stm32f10x.h"  //官方库  
    + m; E+ B" [2 F( a! k4 s! U
  3. #include "usart1.h"  //串口库  ) y3 r: _! X& J. b9 t" ^* m3 o" ?  n
  4. #include "stdio.h"  //C标准库  
    ' P; I$ a8 ]# a0 m4 s2 [0 p
  5. //#include "systick.h"  
    1 i0 t" _9 Q) C  N0 y) D) B# n6 c, u6 q
  6. #include "dht11.h"  //DHT11库  1 D9 h/ Z0 D7 l2 V; C9 n1 b
  7. #include "led.h"  //LED库  
    ) [4 p( l4 ?5 i# U! F1 W/ w
  8. //#include "key.h"  
    9 b  p6 s  K8 m' \( t6 ~% E7 K
  9. #include "sys_other.h"  //时钟库  
    & u5 n  v1 m9 s
  10. #include "delay_other.h"  //延时库  
    ( A# j% ?. D6 x+ l# T
  11. #include "oled_other.h"  //oled库  
    , Y4 a' ~# i) R! Y) b
  12. #include "gpiooled.h"  //oled_gpio库  
    . R, I: k  m$ P1 W! p% [7 X
  13. #include "string.h"  //C标准库  & g6 H) `7 T, v8 n! V  n
  14. #include "i2cbh1750.h" //bh1750的i2c配置  + O  {8 \# v9 [/ B, Q5 w3 p
  15. #include "bh1750.h"  //bh1750的驱动库  $ o$ l4 S; _) h
  16. #include "pm.h"  //导入pm2.5的驱动库  
    0 Y' t; }& w+ V* I3 |) \# x/ J
  17.   1 _2 V9 b& i2 S- f
  18. char strTemp[30];  //声明字符数组strTemp,初始化元素30  
    5 k) ?$ z' P1 u& r! f7 [
  19. char strHumi[30];  //声明字符数组strHumi,初始化元素30  
    7 |( n7 f( ]& J1 {8 r. ~
  20. char strLux[30]; //声明字符数组strLight,初始化元素30  
    3 [7 n: t( T+ P, e+ `) @
  21. char strPm[30]; //声明字符数组strPm,初始化元素30  
    : Q# g7 h% f# o/ Q+ Y# H, ~. [1 |
  22.   
    ! p6 A0 L& ^7 V$ u
  23. void PIGSys_Init(void)  //设置一个初始化OLED获取DHT数据系统的函数  
    / z/ G2 o; A8 q
  24. {  0 X7 ?9 }5 Q+ x6 }
  25.     delay_init();  //调用延时函数  2 T/ n! f# R/ y, `
  26.     SPI_OLED_GPIO_Config();  //调用OLED的SPI初始化  * l9 X2 t/ L* P0 r- ^
  27.     DHT11_Start();  //调用DHT11的预备函数  
    # `$ V- q& f6 ^' c: S
  28.     USART1_Config();  //初始化串口(因为没有用到串口所以不需要))  
    ! A  O/ ^' z5 M: k0 U/ P5 N1 X' p) N
  29.     LCD_Init();  //OLED屏幕的初始化  6 H8 Z6 N3 b& B+ |) x& C$ A
  30.     iic_init();//i2c协议的初始化  9 @( g4 k8 X, E8 C- Y0 E
  31.     PM_GP2Y_Init();//pm2.5模块初始化  3 l8 F3 S$ N7 `4 _) ~. g
  32. }  
    : k3 X) L: D. [
  33.   
    & V- y9 x  n2 n1 V5 n
  34. int main(void)  //开始主函数  9 D4 u; }& M& N3 Z" n4 K+ i
  35. {  3 d3 m; {6 D& @, b' Z5 H
  36.       % c* W! I0 o) f
  37.     PIGSys_Init();  //调用之前的系统初始化函数用于初始化系统    @8 e, T" }9 B7 ?# C  J' K3 d
  38.       5 _+ X, Z$ |7 |5 e2 C. r
  39.     /*这三个可以写进BH1750模块库,不必放在主函数,日后改进,2017-7-7*/  
    ) v1 W; Y6 s- o9 c# O
  40.     bh_data_send(BHPowOn); //BH1750光照传感器等待检测指令  
    3 e  I/ @6 K% x0 d7 s
  41.     bh_data_send(BHReset); //BH1750光照传感器总线重置模块寄存器  # L* `6 [! D7 g! Z+ d9 f$ D, f( ~+ H
  42.     bh_data_send(BHModeH2);//BH1750光照传感器设置高分辨率模式  
    8 N. D* F0 \6 v) R
  43.       4 E' x  S# p' ?+ h
  44.     delay_ms(500);  //延时500毫秒  7 k: G; t- e7 W. F) @: f
  45.     delay_ms(500);  //延时500毫秒  
    3 L$ R2 A5 F% Z* n
  46.       ' Q  O3 ]3 {* g2 k
  47.     while(1)  
    & h8 d% p7 d! m, S4 \2 [
  48.     {  3 x# y# E+ A9 U6 k
  49.         if(DHT11_ReadData())  //如果DHT11读取到数据了,那么就显示  " t& v) S3 I. U
  50.         {  ; |; m# C( Z2 ]; l
  51.               
    . E( |, C$ [- N& U+ h: {
  52.             sprintf(strTemp,"温度:%d",DHT11_GetTemp()/256);   //调用Sprintf函数把DHT11的温度数据格式化到字符串数组变量strTemp中  
    - S2 k4 A! q- w1 o7 i3 l" A  d
  53.             sprintf(strHumi,"湿度:%d",DHT11_GetHem()/256);    //调用Sprintf函数把DHT11的湿度数据格式化到字符串数组变量strHumi中  
    ' ?+ S0 l- ^+ {5 f
  54.             sprintf(strLux,"光强:%4.1f",bh_data_read()/1.2);  //调用Sprintf函数把BH1750的光照强度数据格式化到字符串数组变量strLux中  
    7 E3 a- T) y8 Z% K
  55.             sprintf(strPm,"PM值:%5.1f",PM_Get_GP2Y_Data());   //调用Sprintf函数把GP2Y的PM2.5数据格式化到字符串数组变量strPm中  
    2 o# |% i# f1 z3 o
  56.               " `/ {1 M% w: ]+ X1 w* J0 h! M
  57.             LCD_Print(0, 0, strTemp,TYPE16X16,TYPE8X16);      //输出温度  2 U8 Q$ a6 i  z( `9 V
  58.             LCD_Print(60,0, "度",TYPE16X16,TYPE8X16);         //输出温度单位  
    ; U0 h8 R* [/ {% J9 [
  59.             LCD_Print(0, 16, strHumi,TYPE16X16,TYPE8X16);     //输出湿度  " a! [. v* G( v5 D
  60.             LCD_Print(60,16, "百分比",TYPE16X16,TYPE8X16);    //输出百分比单位  ' c9 @6 g4 @" o( C# [3 s$ ?9 T4 C( }
  61.             LCD_Print(0, 32, strLux,TYPE16X16,TYPE8X16);      //输出光照强度  
    . J  q3 @5 S& @2 j6 ]0 m6 M
  62.             LCD_Print(90,32, "勒",TYPE16X16,TYPE8X16);        //输出光照单位  
    # i; |9 b8 x6 F: z- ?; _; ^5 C
  63.             LCD_Print(0, 48, strPm,TYPE16X16,TYPE8X16);       //输出PM2.5  
    0 d8 Z- O" b$ h- O2 V# D2 |2 ?
  64.             LCD_Print(88, 48, "ug/㎡",TYPE16X16,TYPE8X16);    //输出PM单位  
    * a2 [& S1 D) P3 R7 N% M3 R( u) p
  65.               
    + X) r5 O% K( ]: x% ?
  66.             printf("智慧猪栏环境数据采集\n");  4 T2 U( E. _% g2 J1 O; s
  67.             printf("******************************************************************\n");  
    0 r% v( D6 z  h) C0 t$ h5 e
  68.             printf("串口采集的数据:\n");  
    4 b6 J* H( S; @2 J5 ^4 r, H
  69.             printf("温度:%d度\n湿度是:%d百分比\n光照强度是:%3.1fLx\nPM2.5是:%8.3fug/每平方米\n",DHT11_GetTemp()/256,DHT11_GetHem()/256,bh_data_read()/1.2,PM_Get_GP2Y_Data());  //用串口USART1显示DHT11的采集数据  
    " T' f( y! A# A; w( }! Q* i" n
  70.             printf("******************************************************************\n");  . Z# G! a( @& Y" F: L2 k
  71.               
    , N7 ^' V6 N* _
  72.         }  ) G0 \+ u% R% e/ s7 C- N1 h
  73.         else   //若DHT11采集不成功,那么就失败  ) d4 l5 e# k* J4 ]# b) E
  74.         {  2 _9 S' M1 ?! a- k9 X5 l$ p
  75.         LCD_Print(0, 0, "信息采集失败",TYPE16X16,TYPE8X16);  //OLED显示失败  0 F( n2 N8 B) H& ?; Z+ u5 m8 ^
  76.          
    + X2 F1 U' v+ ?
  77.         printf("系统采集失败,一定是哪个环节出了问题!\n");    //串口显示失败  & I4 ]. T0 ~  x$ ~
  78.   * u0 p) e0 L8 r5 G; y; m3 u" ~
  79.         }  
    . p& O7 G. c8 B7 u! \9 `4 F
  80.   delay_ms(500);  //延时  
    / Y" r% ]! `* F
  81.   delay_ms(500);  //延时  9 T( q% z6 C2 F# F' {
  82.   delay_ms(500);  //延时,避免刷新DHT11数据太块  & s/ I( |& Q: T7 g) Z
  83.     }  
    % p! ]# ?* s# C$ k; T/ u$ M8 J
  84. }  . s, n/ H3 b6 U- w9 B% u
复制代码
( `: M% }& d8 F! j8 ]$ D; w* \$ F6 t
3 n; a. X4 g( Z) l* @

4 x9 T; R, s% S' k4 u, T9 [+ k
转载自leavamc
7 I, W. {7 W8 V+ S
; `0 R7 S' Y2 r  c& I9 g" ~
收藏 评论3 发布时间:2018-6-1 13:30

举报

3个回答
pythonworld 回答时间:2018-6-1 19:12:34
楼主的注释超超详细!
seven94959 回答时间:2018-8-6 18:13:58
显示程序写的不错能发一份吗?949597273@qq.com
hwl0541 回答时间:2020-3-22 15:35:21
我以为是一个系列,我还等【STM32小案例 02】呢

所属标签

相似分享

关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版