你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

SPI原理超详细讲解---值得一看

[复制链接]
STMCU-管管 发布时间:2020-9-29 10:39
什么是SPI

SPI 是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola(摩托罗拉)首先在其MC68HCXX系列处理器上定义的。

SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。

0 D1 Q& ^1 d3 ]$ F- K/ Q+ ]0 ]


0 M7 B  `0 i1 y: q# C

SPI主从模式6 b0 P# l6 e% c; t3 I/ Q

SPI分为主、从两种模式,一个SPI通讯系统需要包含一个(且只能是一个)主设备,一个或多个从设备。提供时钟的为主设备(Master),接收时钟的设备为从设备(Slave),SPI接口的读写操作,都是由主设备发起。当存在多个从设备时,通过各自的片选信号进行管理。

SPI是全双工且SPI没有定义速度限制,一般的实现通常能达到甚至超过10 Mbps


. M9 r' `+ W7 J1 D3 _

  v' f/ N4 f( D5 \

SPI信号线
; C0 i" s* V6 a. S/ e) t2 s

SPI接口一般使用四条信号线通信:
" y+ W2 H5 [5 u  N; tSDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)

  • MISO: 主设备输入/从设备输出引脚。该引脚在从模式下发送数据,在主模式下接收数据。
  • MOSI: 主设备输出/从设备输入引脚。该引脚在主模式下发送数据,在从模式下接收数据。
  • SCLK:串行时钟信号,由主设备产生。
  • CS/SS:从设备片选信号,由主设备控制。它的功能是用来作为“片选引脚”,也就是选择指定的从设备,让主设备可以单独地与特定从设备通讯,避免数据线上的冲突。& e) d) }1 V: _! f( p) Q2 w

    * O$ [" x& d7 Z9 @, V5 H$ j
9 |$ j5 d) x% n+ ~" c& r) |9 U8 X

) }+ q( k2 l. s" S5 Z( v7 @& x
4 h2 C- f% l6 D& p& W+ q, Q9 k
+ }  d' S2 `, `% n- c

硬件上为4根线。

SPI一对一6 v) z) z5 F* t* u6 _1 G

1_meitu_14.jpg

5 P  \5 e7 n0 u# X

SPI一对多; L3 x) [, r4 Z9 ?$ c+ V

2_meitu_15.jpg

' x4 |8 a" Q7 v0 i) a3 D/ M. \: L
, |5 e1 v" W* S9 N2 u/ {2 Q/ c3 i8 G+ j. p

SPI设备选择+ U( |' Y8 u5 z0 i
- g. L/ G4 G* Z' o

SPI是[单主设备( single-master )]通信协议,这意味着总线中的只有一支中心设备能发起通信。当SPI主设备想读/写[从设备]时,它首先拉低[从设备]对应的SS线(SS是低电平有效),接着开始发送工作脉冲到时钟线上,在相应的脉冲时间上,[主设备]把信号发到MOSI实现“写”,同时可对MISO采样而实现“读”,如下图:

& d9 T0 k+ O, g, B

3_meitu_16.jpg

& k/ b8 H  J! w7 f5 H# R* Z% ]( T+ s1 L0 m) ~$ v7 S# e5 o4 k/ n1 Y0 {4 }
% X7 C& N% Z. S2 _

SPI数据发送接收
9 ]) Y5 k. a0 `  Z4 `2 Q1 ]1 A6 s5 d5 c0 V0 h$ C1 s6 `

SPI主机和从机都有一个串行移位寄存器,主机通过向它的SPI串行寄存器写入一个字节来发起一次传输。

  • 首先拉低对应SS信号线,表示与该设备进行通信
  • 主机通过发送SCLK时钟信号,来告诉从机写数据或者读数据) t/ [2 U, X! L3 N+ Z. s
    这里要注意,SCLK时钟信号可能是低电平有效,也可能是高电平有效,因为SPI有四种模式,这个我们在下面会介绍
  • 主机(Master)将要发送的数据写到发送数据缓存区(Menory),缓存区经过移位寄存器(0~7),串行移位寄存器通过MOSI信号线将字节一位一位的移出去传送给从机,,同时MISO接口接收到的数据经过移位寄存器一位一位的移到接收缓存区。
  • 从机(Slave)也将自己的串行移位寄存器(0~7)中的内容通过MISO信号线返回给主机。同时通过MOSI信号线接收主机发送的数据,这样,两个移位寄存器中的内容就被交换。$ i7 g" K$ C5 G6 L" c. e) ]
    $ B& _6 E4 x/ J  s' ]

* X/ X! w1 V- q! o1 T5 n( a" o

- ^+ [* U4 y4 N; V

4_meitu_17.jpg

' A% q( R1 X2 _" h4 W. M  d) w/ \8 r- O; E! D6 x
/ L* e" J# U5 h' K% U8 o, Q4 M
SPI只有主模式和从模式之分,没有读和写的说法,外设的写操作和读操作是同步完成的。如果只进行写操作,主机只需忽略接收到的字节;反之,若主机要读取从机的一个字节,就必须发送一个空字节来引发从机的传输。也就是说,你发一个数据必然会收到一个数据;你要收一个数据必须也要先发一个数据。


) e! y- @" M6 T* v1 m

, z" l! {5 _% f+ n" s  `

SPI通信的四种模式
; v) c& M9 j; \. b- \! w# x3 `  @+ B

SPI的四种模式,简单地讲就是设置SCLK时钟信号线的那种信号为有效信号

SPI通信有4种不同的操作模式,不同的从设备可能在出厂是就是配置为某种模式,这是不能改变的;但我们的通信双方必须是工作在同一模式下,所以我们可以对我们的主设备的SPI模式进行配置,通过CPOL(时钟极性)和CPHA(时钟相位)来" D5 t" V' |/ b7 c
控制我们主设备的通信模式,具体如下:8 `: t' y, |0 ^1 h

时钟极性(CPOL)定义了时钟空闲状态电平:

  • CPOL=0,表示当SCLK=0时处于空闲态,所以有效状态就是SCLK处于高电平时
  • CPOL=1,表示当SCLK=1时处于空闲态,所以有效状态就是SCLK处于低电平时' g  s( l" \- r3 G: P
    ; _. ~+ M, i3 R2 R0 A5 c

时钟相位(CPHA)定义数据的采集时间。

  • CPHA=0,在时钟的第一个跳变沿(上升沿或下降沿)进行数据采样。,在第2个边沿发送数据
  • CPHA=1,在时钟的第二个跳变沿(上升沿或下降沿)进行数据采样。,在第1个边沿发送数据
    - Q! y) k% ^4 E$ q7 ^. D
    2 c" a$ d2 Z2 Z2 N; N9 N( g/ Q* I" R

例如:

  • Mode0:CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在上升沿(准备数据),(发送数据)数据发送是在下降沿。

  • Mode1:CPOL=0,CPHA=1:此时空闲态时,SCLK处于低电平,数据发送是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在下降沿,数据发送是在上升沿。

  • Mode2:CPOL=1,CPHA=0:此时空闲态时,SCLK处于高电平,数据采集是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在下降沿,数据发送是在上升沿。

  • Mode3:CPOL=1,CPHA=1:此时空闲态时,SCLK处于高电平,数据发送是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在上升沿,数据发送是在下降沿。

      T) ], ~8 k; }  S! c3 f

    + f  U3 M( u/ t) o* @* |
& L6 w& C: w4 u+ z

& @1 t+ d9 r& D' w5 c, `/ c) ~

5_meitu_18.jpg

9 Z& V( n! y+ Q) E) Y

6_meitu_19.jpg
7 g& R; D% _2 T. Q  X$ ~7 X

" @7 g1 ~$ ]% [
8 Y1 M, L8 a# ]8 h
7_meitu_20.jpg

! \5 O& T' x: ~8 u
( X) a; Q3 E* M( `7 O
' R# V0 m4 Z, o, E- I  Q

它们的区别是定义了在时钟脉冲的哪条边沿转换(toggles)输出信号,哪条边沿采样输入信号,还有时钟脉冲的稳定电平值(就是时钟信号无效时是高还是低)。每种模式由一对参数刻画,它们称为时钟极(clock polarity)CPOL与时钟期(clock phase)CPHA。3 |! ?& o1 m2 S9 L  p# T

SPI的通信协议0 u% G9 l" X# a3 \9 S
3 `+ G+ o* e# h9 z

8_meitu_21.jpg

. q3 @1 t9 B4 R* p8 T0 Q
5 [" D  b6 l- H. u: K

主从设备必须使用相同的工作模式——SCLK、CPOL 和 CPHA,才能正常工作。如果有多个从设备,并且它们使用了不同的工作模式,那么主设备必须在读写不同从设备时需要重新修改对应从设备的模式。以上SPI总线协议的主要内容。

是不是感觉,这就完了? SPI就是如此,他没有规定最大传输速率,没有地址方案,也没规定通信应答机制,没有规定流控制规则。

只要四根信号线连接正确,SPI模式相同,将CS/SS信号线拉低,即可以直接通信,一次一个字节的传输,读写数据同时操作,这就是SPI

些通信控制都得通过SPI设备自行实现,SPI并不关心物理接口的电气特性,例如信号的标准电压。

PS:( r3 r5 g3 A8 e1 H: }
这也是SPI接口的一个缺点:没有指定的流控制,没有应答机制确认是否接收到数据。

$ @  m; D! f% l' J

7 g) ]- [& B% J/ G8 X9 P

SPI的三种模式" a0 V5 D! a9 @9 }: {: M# Q$ k- @
9 R; T- Q3 S# I8 h2 I- s

SPI工作在3中模式下,分别是运行、等待和停止。

运行模式(Run Mode)
, ~6 d( G3 \" L这是基本的操作模式

等待模式(Wait Mode)
! h) i) z0 M3 f2 W; L; n! \0 ASPI工作在等待模式是一种可配置的低功耗模式,可以通过SPICR2寄存器的SPISWAI位进行控制。在等待模式下,如果SPISWAI位清0,SPI操作类似于运行模式。如果SPISWAI位置1,SPI进入低功耗状态,并且SPI时钟将关闭。如果SPI配置为主机,所有的传输将停止,但是会在CPU进入运行模式后重新开始。如果SPI配置为从机,会继续接收和传输一个字节,这样就保证从机与主机同步。

停止模式(Stop Mode)# c" _' j- q6 b7 m
为了降低功耗,SPI在停止模式是不活跃的。如果SPI配置为主机,正在进行的传输会停止,但是在CPU进入运行模式后会重新开始。如果SPI配置为从机,会继续接受和发送一个字节,这样就保证了从机与主机同步。

6 c& N( S: q8 f1 t" R3 h1 o5 |  [


8 `3 s) n/ O5 I5 A' ]

SPI原理图连接' q4 R6 h3 q2 A* d$ c

! ~, @  @, j2 G8 q* X  v

9_meitu_22.jpg
! A1 B1 m7 _! L, B

  t: u  M$ g3 c- Z( I
. N) g$ M1 z  l6 k7 u/ \**

STM32中SPI初始化配置
3 |- V) n3 b: f- X  \+ O! o4 w, V1 @9 L; ]6 h

1.初始化GPIO口,配置相关引脚的复用功能,使能SPIx时钟。调用函数:void GPIO_Init();

2.使能SPI时钟总线:RCC_APB2PeriphClockCmd(RCC_APB2Periph_SPI1,ENABLE)

3.配置SPI初始化的参数,设置SPI工作模式:SPI_Init(SPI1,&SPI_Initstructure)

4.使能SPI外设:SPI_Cmd(SPI1,ENABLE);

, `* W, z" }, d( h, @

SPI配置设置

  s4 C$ w$ T# G) c+ x

' P6 q) D. D, ?

  1. typedef struct; M, e( w& P4 [9 K8 `. F1 O
  2. {9 p2 m' B0 p+ D2 A$ W$ V
  3. uint16_t SPI_Direction; /*!< 传输方向,两向全双工,单向接收等*/- _- \& r6 O" s9 W, }
  4. uint16_t SPI_Mode; /*!< 模式选择,确定主机还是从机 */' X7 P0 [, {. L
  5. uint16_t SPI_DataSize; /*!< 数据大小,8位还是16位 */, {) v' c- `) _; U6 P
  6. uint16_t SPI_CPOL; /*!< 时钟极性选择 */; ]& f7 k  {' C% O" x9 S5 c
  7. uint16_t SPI_CPHA; /*!< 时钟相位选择 */5 |* `4 C9 K8 Q, a* C6 M
  8. uint16_t SPI_NSS; /*!< 片选是硬件还是软件*/
    8 g9 t, u/ v( `+ {5 c/ ]
  9. uint16_t SPI_BaudRatePrescaler; /*!< 分频系数 */% d6 a" u. p: E3 n6 C' q1 S8 |* w$ `
  10. uint16_t SPI_FirstBit; /*!< 指定数据传输是从MSB还是LSB位开始的。M
    $ x+ u9 e0 z: U0 K# i+ E
  11. SB就是二进制第一位,LSB就是最后一位 */5 M. F9 M! g! h9 r& ^
  12. uint16_t SPI_CRCPolynomial; /*!< CRC校验 ,设置 CRC 校验多项式,提高通
    . X2 @$ E9 k8 v# Z% {
  13. 信可靠性,大于 1 即可*/
    0 R/ G2 ^! U8 p! F! ]) i
  14. }SPI_InitTypeDef;# }0 s) t' ^, O( z( L  k
复制代码

$ a5 n2 |# L5 x: T- q4 \


2 X4 J& i& I! P5 t

20200429140851473_meitu_23.jpg


% x$ T# h) u" c$ C* C
8 z3 u- D1 ~) C, y1 T
  1. void SPI2_Init(void); B; [( E2 \6 G9 G, h% s
  2. {' r; E, b' q& w2 k6 Z1 ^4 z
  3.         GPIO_InitTypeDef GPIO_InitStructure;4 ]* F  U" w* q
  4.   SPI_InitTypeDef  SPI_InitStructure;
    * X, ]# i! p( [! l6 [8 T! ~
  5. # ?3 C  X& u# a# Y( U6 f! X2 r
  6.         RCC_APB2PeriphClockCmd(        RCC_APB2Periph_GPIOB, ENABLE );//PORTB时钟使能
    1 i& J$ m  m% {' a% f9 Z
  7.         RCC_APB1PeriphClockCmd(        RCC_APB1Periph_SPI2,  ENABLE );//SPI2时钟使能         $ F% Z" B) Q; h2 C; Y
  8. 5 ^& y0 ~: I6 z: F
  9.         GPIO_InitStructure.GPIO_Pin = GPIO_Pin_13 | GPIO_Pin_14 | GPIO_Pin_15;& @6 g2 [9 j! U: ?: y! S6 y
  10.         GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;  //PB13/14/15复用推挽输出 % W  X: ^" V! E- c* H# y! i
  11.         GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;  L1 k, b7 R- Z' s8 ?* _0 q
  12.         GPIO_Init(GPIOB, &GPIO_InitStructure);//初始化GPIOB
    , d. K  h4 }' @2 b1 A: |$ I; W
  13. / o6 X* x) X" \! B1 N3 B& G
  14.         GPIO_SetBits(GPIOB,GPIO_Pin_13|GPIO_Pin_14|GPIO_Pin_15);  //PB13/14/15上拉
    % @$ t$ V* r+ y6 j0 {+ \
  15. , c* [, b/ v! n. t/ @1 ~& e% p' ]1 e
  16.         SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;  //设置SPI单向或者双向的数据模式:SPI设置为双线双向全双工  v: G$ Q* R7 K, D! ^$ q
  17.         SPI_InitStructure.SPI_Mode = SPI_Mode_Master;                //设置SPI工作模式:设置为主SPI/ v( Y% E0 _0 s' T+ W
  18.         SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;                //设置SPI的数据大小:SPI发送接收8位帧结构+ l5 e6 G6 t6 `
  19.         SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;                //串行同步时钟的空闲状态为高电平
    3 d) q& l1 r2 T! e+ l# N
  20.         SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;        //串行同步时钟的第二个跳变沿(上升或下降)数据被采样- h  ]- W* q: Q, A! ]* H9 @
  21.         SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;                //NSS信号由硬件(NSS管脚)还是软件(使用SSI位)管理:内部NSS信号有SSI位控制- }; |% R5 Z* w  y+ s) E
  22.         SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256;                //定义波特率预分频的值:波特率预分频值为256
    % c2 i* X& h$ e7 z
  23.         SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;        //指定数据传输从MSB位还是LSB位开始:数据传输从MSB位开始# ?: z- }2 X( s/ D1 G
  24.         SPI_InitStructure.SPI_CRCPolynomial = 7;        //CRC值计算的多项式9 k) n# ]% j3 o1 _
  25.         SPI_Init(SPI2, &SPI_InitStructure);  //根据SPI_InitStruct中指定的参数初始化外设SPIx寄存器( O( ]! ?- C3 ?( k* m

  26. 2 e; v3 e6 Y( H- R  f- r
  27.         SPI_Cmd(SPI2, ENABLE); //使能SPI外设
    ( C$ ]& `/ @  m+ Y" K! s% J
  28.        
    # w7 @( C2 x  C5 l( F5 e& ~7 F% ]
  29.         SPI2_ReadWriteByte(0xff);//启动传输                 
    4 g7 A* Z: v! Q7 T. Y+ o

  30. 7 {; w) H8 e) _. f+ N
  31. }
复制代码
( O3 l: k. [7 B3 N

SPI发送函数(标准库/HAL库)

6 N* y/ ]2 W' E+ {) D$ e5 z

  1. 标准库:
    * V9 Z3 T, z' Z3 g3 y* O$ r
  2. u8 SPIx_ReadWriteByte(u8 TxData)
    0 i3 i& E$ I" @6 D* ^3 d
  3. {8 [1 T, B' S2 [: G( a
  4.     u8 retry=0;
    ( v( d5 }) R. w5 H
  5.     while (SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_TXE) == RESET)# }% V: |6 B/ i. v7 q) d! k) l
  6.     {
    ' x5 C6 s& I2 j: d' x. ]3 N
  7.     }//等待发送区空
      g! @! B$ o4 E4 v2 ?" X. X1 ?
  8.     SPI_I2S_SendData(SPI2, TxData); //通过外设SPIx发送一个byte 数据
    & }( v% F5 y$ b! D+ N9 r
  9.     while (SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_RXNE) == RESET); f9 v4 [! V& j" f' y4 g
  10.     {
    8 Z; G) h3 \1 i- z
  11.     } //等待接收完一个byte
    6 v% l/ z5 V8 Z( R; y( F+ m
  12.     return SPI_I2S_ReceiveData(SPI2); //返回通过SPIx最近接收的数据
    5 t6 E3 N: S8 `$ O$ V  y
  13. }
    ' R! j/ |) x1 Y, i' E

  14. " w! `- {# s$ z
  15. HLA库:: `5 I9 }4 M7 O
  16. uint8_t SPI_SendByte(uint8_t byte)* [4 k( K% n8 Z2 E; \0 F* ~
  17. {
    0 L0 h' `( Q8 |( d% q3 l% ?
  18.     uint8_t d_read,d_send=byte;( j% E. ~; a, {! _' j
  19.     if(HAL_SPI_TransmitReceive(&hspi1,&d_send,&d_read,1,0xFFFFFF)!=HAL_OK)
    8 l7 C7 E# A  {' E3 \/ F, r/ p" A+ S
  20.     d_read=0XFF;
    / Q* a9 I$ z' x8 s
  21.     return d_read;
    ! m# n1 a( m5 T* \
复制代码
2 V  C  m( ^+ L4 W" C* b) i- G4 e! N$ x/ o
6 D% j. ]5 f6 O0 H1 c) L& q7 r

! b3 M1 k9 X3 B7 [& N
1 收藏 2 评论0 发布时间:2020-9-29 10:39

举报

0个回答

所属标签

相似分享

关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新与技术
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版