你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

基于单片机的四路循迹小车设计

[复制链接]
gaosmile 发布时间:2021-1-22 11:04
一. 任务要求
  C8 v- o6 ]$ m
2 K0 j0 `, Z) y# a. R3 l( d
+ t, {3 N9 e4 J5 _3 F! R二. 系统原理
5 \1 h8 b9 Z" u2 `" _' k本系统以设计题目的要求为目的,采用STC89C52单片机为控制核心,利用红外传感器检测轨道,控制电动小汽车的自动循迹,快慢速行驶。; ~) ], [: J0 M( E* M8 {
这里的轨道是指汽车沿着白色地板上的黑线行驶。由于黑线与白地板的反射系数不同,可以根据接收到的反射光的强度来判断“道路”。常用的方法是红外检测。; @7 D+ r+ g. u7 f8 ?* M" }/ J
红外检测方法,即在汽车行驶过程中,利用红外光在具有不同颜色的物体表面的不同反射特性,不断向地面发射红外光。当红外光与白纸地板相遇时,会发生漫反射,反射光被安装在车内的接收器接收,如果遇到黑线,就会变成红色。外部光被吸收,车内的接收器不能接收红外线。单片机根据是否接收到反射的红外光来确定黑线的位置和汽车的行驶路线。
/ H% {% ?, Q% F: F三. 整体方案) p( c; _. n& Q
1. 控制模块小车的核心控制部件采用stc公司生产的8位单片机STC89C52。它是一种低功耗、高性能CMOS8位微控制器,具有8K字节系统可编程Flash存储器。STC89C52使用经典的MCS-51内核,但是做了很多的改进使得芯片具有传统51单片机不具备的功能。STC89C52有3个16 位定时器/计数器,2个外部中断,1个串口中断。
/ D$ j9 r5 ~7 [1 _8 M6 e5 ?最小系统51单片机的具体引脚功能不细说,不了解的可自行百度。直接上最小系统电路图(自己画的,凑合着看)。顺便说一句,在我看来一个没有指示灯的电路是最烦的电路<(`^´)> 我的复位一定要有灯才行!9 X: u  ]1 z3 x! ]) c2 Z7 B
4 a+ N% x' @* t" y( \" u
三部分:$ @4 S" {+ [7 K* i. c, i- u
①电源电路:给单片机提供5V的电源
: w$ X/ b/ j6 {# \. L: P. K②时钟电路:外接11.0592M石英晶振。
4 p; _& [; c, k4 F& j) z③复位电路:确保单片机是从一个确定的初始状态开始。
0 T5 y. c5 d# T焊接时注意P0口要接上拉电阻,否则不能用,一般都用排阻做上拉电阻(当然如果能自己画板子就更好了)。6 `% q* t& R7 C1 Y
去除AD网格线记一下怎么去除AD网格线,如图步骤,最后去掉Visible前面的对勾即可,别忘记最后点OK:
* Q7 z4 O7 N6 k. d
; H2 Y6 G& u: Y0 S! V2 N; z& B6 {2. 电机驱动模块我使用的是最经典的LM298N电机驱动:8 ], C0 P# w7 W
我太喜欢用298了。不仅可以接至12v(即拥有更强的驱动能力),而且有过电流保护功能,当出现电机卡死时,可以保护电路和电机等。且自带7805降压模块可直接给单片机供电~~
5 ~- [. N% I. b% p实物图:' x" s' ?% }, V

1 [5 h; a- f& p7 F; B* q- i# _驱动与各部分的连接简介:
6 f: u  ^0 E5 n6 g* z/ h# I  F输出A、B:分别接到左右马达上,通过使能A、使能B来控制电机正常工作(接高电平为正常工作);
& ~8 l' x: e) J, x# N单片机IO控制输入(即IN1-IN4):用来控制马达正反转。接单片机引脚,通过在程序中给引脚高低电平实现正转或反转(详见下边输入输出关系表);
$ ]. h5 a" B& ?" j2 f12V输入:接电池盒正极;
' Z$ W1 R7 G: k( H! J6 f( S) [/ R6 B. Y5V输入:接单片机VCC,给单片机供电;
' n+ @5 [. L% R3 w( A电源地(即GND):把电池盒负极和单片机的GND一起接入。8 d; n* l& t7 ?/ Y7 j. S  V& `9 T

4 K7 b1 ~7 F9 O* z# }/ T电路图:
: {7 _$ G, e( N) F9 Z
7 p" S  {! s, k9 U1 ~( H输入输出关系(使能ENA、ENB均为1的状态下):
, m8 K9 P, g$ {5 c$ `6 ^" F2 F/ G" }( Z* I" s! l1 O+ N0 P
! q; d" O, u; n" {: x  u
3.电源模块
. N0 P3 e# n' w  _) e% v我自己使用的是两节18500锂电池供电。如果觉得两节电池不够,三节12V又太大,也可以在中间加一个7809稳压管把电压降到9V再接入驱动:
, T+ }* j4 H8 r7 J' s6 u) k4. 循迹模块
6 p6 W0 H% c% {6 ?光电循迹是由四对红外收发管组成,通过检测接收到的反射光强,判断黑白线。
) b) n3 T- L/ h1 `3 K! ~当模块探测灯检测到黑线时指示灯熄灭,同时 OUT端口持续输出高电平信号,反之未检测到黑线的探测灯应常亮,OUT端口输出低电平。该模块检测距离 2~60cm,检测角度 35 ° ,检测距离可以通过电位器进行调节,顺时针调电位器,灵敏度增加;逆时针调电位器,灵敏度减少。7 y. K, ^5 y" m
原理图由红外对管和电压比较器两部分组成,红外对管输出的模拟电压通过电压比较器转换成数字电平输出到单片机。3 a: u& m# z) u8 T; s! d0 W
主控板的电路图:
# T8 V6 d1 Z, n. M1 m' r7 U7 c- \+ ]2 j9 {
小板电路图:
4 Y4 f. e7 H. ^9 y
/ x4 v; u3 c( C" A- r. b注意连接的时候:VCC-VCC、GND-GND、IN-OUT。; f) H. j6 w: ~/ E  w' _
比较器LM339
7 d+ f6 l1 ?8 `, _8 }- ]; \3 u% I$ L6 `2 V/ q- K8 @& k) t
5. 超声波测距模块实物图:1 Z' Z* d! }/ l# o8 }- \. R6 w

& f# D" C/ \1 I1 z# C电路图:
' f- q# y# K" t3 @6 V1 i0 O- `% {7 ~8 s! O2 C
工作原理:
6 G5 B' J  h' q& T: X# m0 B  (1)采用IO口TRIG触发测距,给至少10us的高电平信号;
& M6 G/ U% w0 ]  (2)模块自动发送8个40khz的方波,自动检测是否有信号返回;9 N. Z# P0 h2 a4 h4 J1 @8 j# g
  (3)有信号返回,通过IO口ECHO输出一个高电平,高电平持续的时间就是超声波从发射到返回的时间。
; W; U% d/ T+ s. i  测试距离=(高电平时间*声速(340M/S))/2;
, F: G9 |! Z9 A; E四. 车体实物图0 a! l2 S9 v/ S9 k) w4 L* x
  
: a: s, C4 a: ^0 A  x五. 软件实现
; ?  h, l% i; d; d8 }0 V* E! j4 L+ V
  #include《reg52.h》
5 `+ k  e$ g7 C% U  typedef unsigned int u16;
, i9 i- f; d! b5 Y# ^; L, R  typedef unsigned char u8;
" ~* ^! R# m% j! i( a% u! u  sbit ENA = P2^0; //右点机使能
# I7 `# n% @6 Z  z  sbit IN1 = P2^1; //为0右轮反转
5 b2 m2 `6 x8 `5 k& j  sbit IN2 = P2^2; //为0右轮正转
! t0 a1 |2 p& q; r3 I" T  sbit IN3 = P2^3; //为0左轮正转. l! {$ a+ q. n5 P
  sbit IN4 = P2^4; //为0左轮反转* J# ]" I9 V" w* {6 ^/ L  a
  sbit ENB = P2^5; //左电机使能
  }8 Q! d( v. j! ?  sbit left1 = P1^3;, q- g! H' D: Q8 U9 ^* u
  sbit left2 = P1^2;
% X( p& `& I1 h  sbit right1 = P1^1;
% F. {8 w3 b. ]8 V* B  sbit right2 = P1^0;
' V/ A: Y8 a/ ~7 A7 z  u8 PWMCnt1 = 0;6 E' x/ C! G# ~6 M
  u8 PWMCnt2 = 0;6 y) H8 X+ d3 D+ m/ q# K
  u8 cntPWM1 = 0;
# c5 y6 }6 j# }0 Q! _6 L/ C5 v  u8 cntPWM2 = 0;
  e) }) I, x( j) ^  void timer0Init();
( w( {! M. H& H; H  void XunJi();8 |% }4 Q2 m' q: f% p5 F8 }
  void main()
* a# Z" ^# @% S  {
5 Z- C! E! J- H: v; d% s# l$ N8 e  Timer0Init();" S+ x6 ~# s2 {/ K7 M. ]  E
  while(1)
3 V) W+ i& u& I, b; b! R  {) D4 {0 t! [' x" V
  XunJi();# X  A! @- p3 e
  }
2 P8 i+ U& c: Z7 D  }$ R0 o( {6 x( `" k( ?
  // i = 1时, 大概延时10us
# e8 b+ Z1 n: x, m, a& @* P; p3 Z  //void delay(u16 i)/ L' V9 q9 ~) ?1 w0 R
  //{- V3 \4 y8 _, Z
  // while (i--);! F, E  S/ F9 R6 L- F/ r
  //}/ N8 K$ U/ n) _9 C- V
  void Timer0Init()$ E  C) f, F8 I2 b
  {) h- z8 c8 b2 w, @
  TH0 = 0xFF;0 w- I! t6 l1 V4 n* N5 [7 b
  TL0 = 0xA3;' y6 c: q; }8 L! i' @
  TMOD &= 0xF0;) j# H' @; V1 w
  TMOD |= 0x01;
+ d8 d* `, I; D+ w/ s, _4 ]0 s  EA = 1;
6 B0 T, b. L9 C  j  ET0 = 1;
( d2 q% t& f2 _2 A2 `  F. b+ n  TR0 = 1;( i) g' S' ~, e
  }7 h% U( ]  V7 r% H" v1 W
  void TurnRight1() //右转
3 f+ v" E' b, j" X8 O  {; S6 \* @: O) |1 g1 K! K
  IN1 = 0; //右轮反转
) z  Q- {" E. z7 @" u0 h  IN2 = 1;
2 _( b- R9 k6 b0 h7 h$ `  IN3 = 0; //左轮正转
; m3 \$ `7 {$ A  IN4 = 1;
5 L$ Z: l" S6 T  cntPWM1 = 70;
4 Y3 w8 |* {4 [9 Y7 L* y  cntPWM2 = 55;
# W* S) s" e. V) Q: O  }8 C/ e+ U- s$ E3 h/ s2 Y' v
  void TurnRight2() //右转5 z' d# `/ W' G' Q3 t
  {4 p$ i# [3 }; T: t& U" e
  IN1 = 0; //右轮反转7 g" i0 a- l2 H% w( o0 {. ]
  IN2 = 1;4 g! W6 Y' O# D7 g
  IN3 = 0; //左轮正转4 c  q. A  P4 M: {1 [8 j; y* p
  IN4 = 1;% ?6 w% K$ C6 [5 x6 h# y
  cntPWM1 = 50;/ x' B9 I4 o' Y/ L+ F; Z
  cntPWM2 = 40;
9 n+ j7 ^" ^+ L, V  }! M8 f! h( \3 ?1 g( ^' d9 V
  void TurnLeft1() //左转) I. a& J9 e8 ^- F& L% k, A
  {
3 S* w+ s0 z  @, g& S* f  IN1 = 1;! |2 U0 u+ g# v: `4 u
  IN2 = 0; //右轮正转9 f/ Z3 q3 v. O! X9 Z+ ?
  IN3 = 1;: m5 P3 b6 K2 O- ]8 |5 v
  IN4 = 0; //左轮反转
8 Z9 E7 a9 `5 @$ Z) l/ D$ z  cntPWM1 = 55;
# a& u5 f( @( z! [' U; D  cntPWM2 = 70;% ~  w- F! ]: \$ j
  }
# ?; |# V5 Z4 ?2 Z  void TurnLeft2() //左转
9 ]7 M2 P* O6 q! u6 T. }3 Y/ p# s4 x6 d4 b  {- r: C  w: i2 L2 Y1 ^' B
  IN1 = 1;; Z; `2 ~7 x# [* z+ a
  IN2 = 0; //右轮正转$ Z: l  ~% E$ e
  IN3 = 1;% P* y1 d! {9 h! X
  IN4 = 0; //左轮反转
# ?2 i+ H3 |- s0 j" R  cntPWM1 = 40;. C! y8 V# V" s/ `. w7 `
  cntPWM2 = 50;
" `. [" y: ~* U: V) P6 e  }
/ K) Q+ s3 U' ?; m  void Forward() //前进
5 I# r* A. c2 Z& t% m  B  {
: U& t6 m4 F* _1 |& Z5 Z! S$ `! @  IN1 = 1;9 q/ n6 y5 c. H/ A3 P+ S
  IN2 = 0; //右轮正转
' J( i; ?& ^7 A# i- R% }& e  IN3 = 0; //左轮正转, j& F% j" X' I4 _9 D
  IN4 = 1;
1 ]) }" l) W- l' e  cntPWM1 = 40;
9 J% P) f3 A! q, X& ]& }% V  cntPWM2 = 40;
. \, H5 B4 a1 U6 ~3 P  }2 n$ n( z  x2 V
  //void Backward() //后退
3 m0 X4 i7 v3 z. ]! M4 k  //{/ w! k( r- F9 k5 a2 L- {) h) s: k
  // IN1 = 0; //右轮反转
# U1 K, }$ o% A# |+ z/ c  // IN2 = 1;
9 A' E. P& I0 U9 I! W4 m  //
; M: p- k- {. A- }+ z0 u  // IN3 = 1;
& p1 y4 k6 W6 j  // IN4 = 0; //左轮反转
3 S& w# c1 |3 t) g2 g5 Z5 a! B* p  // cntPWM1 = 30;
3 s; r" o/ ~4 }0 G$ e$ m& L  // cntPWM2 = 30;; |5 i4 h9 L1 C" n5 F9 R
  //}
/ {' l' T/ X9 |  void Stop() //停止
1 h( z- C/ X, N4 u% Z' }8 j) P  {
3 _: e) `& a) J8 o% T# D0 B7 e' w  IN1 = 0;
$ u) `% ^9 w( \7 m  IN2 = 0;
9 }1 I0 b4 Y) X2 \6 p  IN3 = 0;" p/ W+ p4 A1 U# }! H% `
  IN4 = 0;7 p/ n: U" g3 T8 s
  }
$ }+ m2 Q; |/ s9 y8 H  void XunJi(). k" B2 H5 Y: d" s9 X% ~0 {) n5 c
  {
) F  ?- c/ O& p" I% Q  m  unsigned char flag = 0;
: j. W7 v0 S2 f9 z2 f9 e  if((left1 == 0)&&(left2 == 0)&&(right1 == 0)&&(right2 == 0)) //0 0 0 0; {% ?" N4 Q3 f6 T! \# h1 |
  flag = 0;
" y/ U4 C1 w5 T9 Z% g" s  if((left1 == 0)&&(left2 == 0)&&(right1 == 0)&&(right2 == 1)) //0 0 0 1* L* o4 ?6 n, j
  flag = 1;
  D# {1 g0 d. K/ E* `1 {8 n  if((left1 == 0)&&(left2 == 0)&&(right1 == 1)&&(right2 == 0)) //0 0 1 00 D- G) g4 {% {
  flag = 0;
$ ~2 H" }) ?, k0 Q$ I9 e0 F  if((left1 == 0)&&(left2 == 0)&&(right1 == 1)&&(right2 == 1)) //0 0 1 1
6 T5 ^7 W$ h  x0 _6 y% B) R  flag = 1;" A3 {$ \+ i% K) e3 m% l9 s$ G( }
  if((left1 == 0)&&(left2 == 1)&&(right1 == 0)&&(right2 == 0)) //0 1 0 05 y, G+ O- _# i9 |& U" p
  flag = 0;& x. z; f/ I* k. R# P
  if((left1 == 0)&&(left2 == 1)&&(right1 == 0)&&(right2 == 1)) //0 1 0 1& Y; y( K  _3 T2 ]9 ]4 P/ N
  flag = 4;8 a9 A2 ?: f0 W
  if((left1 == 0)&&(left2 == 1)&&(right1 == 1)&&(right2 == 0)) //0 1 1 0
) N& q4 _$ A3 X- ?3 o5 I' v, N  flag = 0;
0 h+ }- |* T6 E  if((left1 == 0)&&(left2 == 1)&&(right1 == 1)&&(right2 == 1)) //0 1 1 1: C+ b! J+ _& y1 v; m  Q* B
  flag = 1;
  B: Y, P2 }+ ~) @  if((left1 == 1)&&(left2 == 0)&&(right1 == 0)&&(right2 == 0)) //1 0 0 07 p+ m0 _4 o9 q/ z
  flag = 3;) |7 W- G2 F) Z( K
  if((left1 == 1)&&(left2 == 0)&&(right1 == 0)&&(right2 == 1)) //1 0 0 1
/ X& [6 i) q5 J2 s  flag = 0;
6 [; W2 J3 `/ C8 Y; e  if((left1 == 1)&&(left2 == 0)&&(right1 == 1)&&(right2 == 0)) //1 0 1 0, U0 l( q% ?0 v( d) N
  flag = 2;1 T& C) e# p+ v' H' R# v% b% c
  // ?, S6 m1 G+ [# r; X4 m
  if((left1 == 1)&&(left2 == 0)&&(right1 == 1)&&(right2 == 1)) //1 0 1 1. R# G& w6 c9 V( j' k, e0 J0 y1 k
  flag = 0;
6 A  q$ R$ I* A( V$ @  if((left1 == 1)&&(left2 == 1)&&(right1 == 0)&&(right2 == 0)) //1 1 0 0
  y( Z- b5 a2 r" T- Q  flag = 3;' X& {3 }$ A5 K2 ~: v
  //?" f2 P4 B3 `  N$ g
  if((left1 == 1)&&(left2 == 1)&&(right1 == 0)&&(right2 == 1)) //1 1 0 1: A9 T" ~7 L, }: R! y7 {, s
  flag = 0;
% u7 {9 i/ S! Q: q" V) r  if((left1 == 1)&&(left2 == 1)&&(right1 == 1)&&(right2 == 0)) //1 1 1 09 Z; t# w# p% [. ^- l) |
  flag = 3;0 y( `7 q) `% H
  if((left1 == 1)&&(left2 == 1)&&(right1 == 1)&&(right2 == 1)) //1 1 1 1- o! \$ I; k* h& O$ n1 v- C
  flag = 5;/ |5 O3 Y' U  i& `( U# k
  switch(flag)+ @' C5 m6 X8 |6 R$ I4 ^+ S6 L, l7 r
  {
5 p. Q4 u5 E: b9 N. Q  case 0:Forward();break;
. v- B  X" A1 M: k  I8 |2 j. M  case 1:TurnRight1();break;( h& i4 K; }/ {3 Y  V
  case 2:TurnRight2();break;
* J+ X1 f. f- [# S& t$ S, h1 m. D  case 3:TurnLeft1();break;
! p0 r, c* K! E4 q  case 4:TurnLeft2();break;
5 W3 c* k8 Z; b  J, |  default:Stop();break;# |. @+ ]& `# v# B
  }
9 L! s1 x! r* M6 g/ F1 S" b. a6 R8 n: \  }3 b1 ~* E) a4 @* w6 p# t' H& H
  void InterruptTime0() interrupt 1
6 u6 j9 S7 X. ^7 u2 X- ?8 t( K  {
; e0 ^0 F& J1 |& c  PWMCnt1++;) b- q6 ~9 I; q5 d, k9 T
  PWMCnt2++;3 E. ~- ^# o' Y2 M3 I6 x
  if(PWMCnt1 》= 200)1 g& \7 @% Z0 e
  {
3 G6 z$ g! U, u- O' D  PWMCnt1 = 0;
! d( _7 @) Y& N% u& t  }& F! O% q$ j, R" R9 o
  if(PWMCnt1 《= cntPWM1)
- N7 q8 ^& r' G( d' _: K6 w  {
6 F  p& T% U9 g  W3 s  ENA = 1;
" q2 N% ?& O8 g  }
) }/ P6 Q4 y: l  else
2 H* H0 W& T% s4 L  {& C, I* W! M* T; G  P" e3 ~
  ENA = 0;5 G# ^& [4 B, T2 k
  }# O6 t1 f3 x6 W$ Q
  if(PWMCnt2 》= 200)( T9 ?# f# o3 j5 f0 s8 y
  {
, L4 t$ s7 q0 p6 F  PWMCnt2 = 0;
& B7 ~+ W$ K1 A' u. w  g3 Y  }. I& {. w9 g7 K( g- _  G8 T9 M) }
  if(PWMCnt2 《= cntPWM2)6 D0 r3 f$ I3 ?" a8 k
  {
, r; v* G7 R8 @: b. r4 w8 B  ENB = 1;
3 k% i1 P. a: z& w) J) J* @  }
$ K3 X: p' _8 w) p3 t1 o! h0 m  else, Y2 q& @' y4 r% K8 k0 ]
  {
, y4 g) i6 B4 h: \6 B" |# A: ~( Z  ENB = 0;$ L% q  w* J& @$ M9 h9 C# z  e( _
  }
/ Q$ ]  X9 b7 i. H* O( n% }! h  TH0 = (65536 - 50)/256;
/ T, X. g% ?& d: ^% g4 S  TL0 = (65536 - 50)%256;5 p2 H4 _( W* }, @
  }* v' A/ f( S8 L
; A) I1 F4 I$ v: @' Z6 H. Q; J" H
4 A( l1 D7 Z) s' q% Q- a7 c( O
收藏 1 评论0 发布时间:2021-1-22 11:04

举报

0个回答

所属标签

相似分享

关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版