你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

【经验分享】STM32-RS485通信软硬件实现

[复制链接]
STMCU小助手 发布时间:2022-1-12 21:25
1、RS485简介 [backcolor=rgba(255, 255, 255, 0.5)]  RS-485又名TIA-485-A, ANSI/TIA/EIA-485或TIA/EIA-485。1 X+ X# q: J8 d( K  @/ C1 A
[backcolor=rgba(255, 255, 255, 0.5)]  RS485是一个定义平衡数字多点系统中的驱动器和接收器的电气特性的标准,该标准由电信行业协会和电子工业联盟定义。使用该标准的数字通信网络能在远距离条件下以及电子噪声大的环境下有效传输信号。RS-485使得廉价本地网络以及多支路通信链路的配置成为可能。RS485接口组成的半双工网络,一般是两线制(以前有四线制接法,只能实现点对点的通信方式,现很少采用),多采用屏蔽双绞线传输。这种接线方式为总线式拓扑结构在同一总线上最多可以挂接32个结点。在RS485通信网络中一般采用的是主从通信方式,即一个主机带多个从机。很多情况下,连接RS-485通信链路时只是简单地用一对双绞线将各个接口的“A”、“B”端连接起来。RS485接口连接器采用DB-9的9芯插头座,与智能终端RS485接口采用DB-9(孔),与键盘连接的键盘接口RS485采用DB-9(针)。
5 x) |$ {8 c; q9 `! f7 D9 E# ~4 O[backcolor=rgba(255, 255, 255, 0.5)]  在低速、短距离、无干扰的场合可以采用普通的双绞线,反之,在高速、长线传输时,则必须采用阻抗匹配(一般为120Ω)的RS485专用电缆(STP-120Ω(用于RS485 & CAN)一对18AWG),而在干扰恶劣的环境下还应采用铠装型双绞屏蔽电缆(ASTP-120Ω(用于RS485 & CAN)一对18AWG)。, l5 b% c! _/ D+ F# t# l8 V- z

+ e: ]* |$ x5 T) G) c8 h5 j2、RS485特性[backcolor=rgba(255, 255, 255, 0.5)]
  • RS-485的电气特性:逻辑“0”以两线间的电压差为+(2—6)V表示;逻辑“1”以两线间的电压差为-(2—6)V表示。接口信号电平比RS-232降低了,就不易损坏接口电路的芯片,且该电平与TTL电平兼容,可方便与TTL电路连接
  • RS-485的数据最高传输速率为10Mbps
  • RS-485接口是采用平衡驱动器和差分接收器的组合,抗共模干扰能力增强,即抗噪声干扰性好
  • RS-485接口的最大传输距离标准值为4000英尺(约1219米),实际上可达3000英尺,另外RS-232接口在总线上只允许连接1个收发器,即单站能力。而RS-485接口在总线上是允许连接多达128个收发器。即具有多站能力,这样用户可以利用单一的RS-485接口方便地建立起设备网络。
    " w3 J7 v/ s; R1 v" ^
/ S7 M* o* }3 V: n" \% y  [# T% J' M

% @. ]) o. _* H! h" ?3、RS485通信硬件实现
  博主使用的485芯片为MAX3485,实现半双工通信。应用电路如下图:
1248164-20180412223146192-596140777.png
  R6为120欧的阻抗匹配电阻,如果长距离通信的话,一定要在最后一个节点接上这一个电阻;但是短距离通信的话焊上R6反而出错(博主在实验中发现,焊上匹配电阻后,主从节点通信异常,调试发现大量的00字节在自动收发),因此建议大家先不要焊上,但是在电路设计时保留。RXD485、TXD485分别接控制芯片的USART1_RX、USART1_TX(串口号可自行选择,这里使用串口1),此外;485C接芯片的PA4引脚(随意选择)用以切换485的通信状态。J1、J2为两个JST接口,方便485通信线路的连接,由于是从节点因而留出两个。以下为其余连接电路:

3 I- o/ I8 b) P- Q; r( N, V3 G
1248164-20180412224910536-815012198.png
1248164-20180412225142380-345694172.png

! k, [( d3 J" z3 v4、RS485通信软件实现
  1. 1 #include "sys.h"1 s& q$ w0 P3 @2 ]
  2. 2 #include "stdio.h"
    . `7 j" f7 ~& O7 z1 q! |
  3. 3
    5 _- ~0 ]0 R8 t. x
  4. 4 #define        USART1_RX_LEN      50           //接收最大字节& n2 I; H4 N% X
  5. 5 #define        USART1_TX_LEN      50           //发送最大字节
    - O% V) t' s4 F( i' u, q3 f
  6. 6 #define     RS485_TX_EN        PAout(4)
    ( E9 Y5 q- e: W- h
  7. 7
    5 p% S4 ~! N; X# R& E
  8. 8
    4 [# T( |0 B3 y- u
  9. 9 extern  u8 USART1_RX_Buf[USART1_RX_LEN];  //接收缓冲% I+ ?7 y5 i& R* L6 E
  10. 10 extern  u8 USART1_TX_Buf[USART1_TX_LEN];  //发送缓冲5 z" M$ `/ T1 ^) q: P
  11. 11 extern  u8 USART1_RX_Data_Len;           //实际接收数据字节长度0 v" f2 G+ G( E$ ^
  12. 12 extern  u8 USART1_TX_Data_Len;           //待发送数据字节长度
    6 i( x  ~, w' f4 {2 `2 X& ^
  13. 13 extern  u8 USART1_RX_Flag;               //是否收到数据
    : A1 i3 V  M7 S/ g$ Z7 M, u
  14. 14 9 b$ i& C- g9 j! Q
  15. 15 void RS485_Config(u32 bound);5 F9 g$ C# T$ L5 w
  16. 16 void USART1_IRQHandler(void);
    : a2 ~  t) s2 j+ _% I7 C& z
  17. 17 void RS485_Send_Data(u8 *buf,u8 len);
复制代码
  1. 1 #include "sys.h"
    8 Z2 C7 K" L. d2 T9 m
  2. 2 #include "delay.h"
    : t$ R9 t# L; `# H9 V& |* v6 p
  3. 3 #include "rs485.h"( a, ^5 K8 d. M4 W% P0 j  x* k. W
  4. 4 4 J7 P) m, t2 w; W
  5. 5 u8 USART1_RX_Buf[USART1_RX_LEN];  //接收缓冲
    6 Z- e. ~5 y0 j; ]# H0 J
  6. 6 u8 USART1_TX_Buf[USART1_TX_LEN];  //发送缓冲+ ?% S; s1 C2 H3 y7 U, g, x+ c1 _
  7. 7 u8 USART1_RX_Data_Len = 0;        //实际接收数据字节长度
    * y+ ~3 E/ G# k" a( t$ ~
  8. 8 u8 USART1_TX_Data_Len = 0;        //待发送数据字节长度
    4 T# C2 s2 C: B7 |0 |" Y
  9. 9 u8 USART1_RX_Flag = 0;            //串口1是否接收完数据      ( Y3 L, d4 |+ `) e7 ?3 j
  10. 10 ' f) r9 s/ K1 x; x
  11. 11 void USART1_IRQHandler(void)
    5 A! Z4 ~' |3 L- U* d
  12. 12 {
    " k8 m  y/ m( ]! U' `0 ?* J+ c& }7 Z
  13. 13     u8 res;
    ! C* Y0 T5 c/ m  Q$ i" N! m" r0 P
  14. 14     if(USART_GetITStatus(USART1, USART_IT_RXNE) != RESET) //接收到数据
    # g2 p% [9 n8 \' I2 V6 C
  15. 15     {
    ' D# k- B( O: e4 W
  16. 16         res =USART_ReceiveData(USART1); //读取接收到的数据
    ) h( M7 r9 p$ ]" r
  17. 17         if(USART1_RX_Data_Len<USART1_RX_LEN)& j) j* j' `; N
  18. 18         {$ M/ E0 S" r4 X7 q8 [' Z
  19. 19             USART1_RX_Buf[USART1_RX_Data_Len]=res; //记录接收到的值
    - p+ T0 _7 T. K3 s" Z
  20. 20             USART1_RX_Data_Len++; //接收数据增加 1. U" t) N1 ]5 x) e; v- S
  21. 21         }                 . q0 k) @; ?& a, ]1 ?4 w
  22. 22         USART1_RX_Flag=1;   //串口1接收到数据$ |2 @  P3 @" Q
  23. 23     }
    ( P6 I% q9 k( `
  24. 24 }
    7 }  i! F! P0 M6 e0 [. U9 q! A
  25. 25
    + ^) w  z: b/ R
  26. 26 void RS485_Config(u32 bound)
    ; G2 ^* t9 B3 R& i+ @+ E  L# c2 w' q) Y
  27. 27 {
    + l$ D; Y" `+ p+ R& l
  28. 28     GPIO_InitTypeDef GPIO_InitStructure;# ^2 d& i( a/ e0 ?. n
  29. 29     USART_InitTypeDef USART_InitStructure;
    , b+ I9 w1 p' N: N% {6 L
  30. 30     NVIC_InitTypeDef NVIC_InitStructure;! z6 u% _0 ~  u
  31. 31     
    / ^* n# N( o: J' d: c& O* [, i" ]3 r
  32. 32     /*********************配置串口1**************************/& m+ S% Q' ]) Z% Y
  33. 33     8 I: G3 S! s6 p- o
  34. 34     /* config USART1 clock */
    ! ]# B* H8 R1 [4 y
  35. 35     RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1 , ENABLE);
    2 E5 B) d  v* o0 V2 f
  36. 36     RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA  , ENABLE);, y! ]6 ?7 n! r
  37. 37     
      Y8 s% l& E" n# o* ^
  38. 38     /* USART1 GPIO config */
    " @* b' _; x( P, ?7 f/ f
  39. 39     /* Configure USART1 Tx (PA.02) as alternate function push-pull *///TX
    - [  r. C) y$ T8 G7 w# d& x# T
  40. 40     GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;7 x+ ]' l; H# h( ~
  41. 41     GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;       //复用推挽输出4 ^; L7 @- C: `8 F2 ~' S3 P
  42. 42     GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    ) O) i0 B6 O7 d& _
  43. 43     GPIO_Init(GPIOA, &GPIO_InitStructure);    6 `' W3 j8 ~6 \7 l/ z; i
  44. 44     /* Configure USART1 Rx (PA.03) as input floating *///RX
    * S) T2 D# x! q; f8 B$ z
  45. 45     GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;0 i( n0 Q3 k! q1 w. p: Z
  46. 46     GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;  //浮空输入8 k2 b& L( \& N" t# j! {6 H: F5 z
  47. 47     GPIO_Init(GPIOA, &GPIO_InitStructure);
    " Z4 C0 `( R& n# O, |. @7 E# K7 \
  48. 48     
      v6 y, G& I, q' _3 E; r
  49. 49     - J" g+ U( _4 a  [) W& s
  50. 50     
    % Q/ S- r$ b) T' X' s6 F9 |
  51. 51     
      K5 n  n, F6 r
  52. 52     /* USART1 mode config */
    1 J0 M" }, N* \4 E6 }  Y9 ^6 |
  53. 53     * B" Z! x! c! V& D. z% {
  54. 54     USART_InitStructure.USART_BaudRate = bound;
    ' ~3 i* ~7 P; L, w+ m
  55. 55     USART_InitStructure.USART_WordLength = USART_WordLength_8b;
    3 Q: R8 b  L; S) s' t2 t
  56. 56     USART_InitStructure.USART_StopBits = USART_StopBits_1;/ a; a6 ?+ M2 Y8 l! U+ s  X
  57. 57     USART_InitStructure.USART_Parity = USART_Parity_No ;, G! E5 t% k. r# x
  58. 58     USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;& H. V7 x' b, ]$ l# L6 Y
  59. 59     USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;
    2 ~" E# a- x. S  e  a
  60. 60     USART_Init(USART1, &USART_InitStructure);! [+ I; N/ Z5 W3 X7 z2 Z
  61. 61     
    3 s2 J7 x* u8 Q$ b
  62. 62     /*  USART1 接收中断 */
    * r/ v/ x* ^1 ~! C; h
  63. 63     NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn; //使能串口 2 中断
    . e6 i. l, N# m% P+ [
  64. 64     NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 3; //先占优先级 3 级
    ( s" H, x" T9 N5 f: E! g+ l
  65. 65     NVIC_InitStructure.NVIC_IRQChannelSubPriority = 2; //从优先级 2级) w+ Y9 f/ T6 |5 l" F2 e. ^7 |4 K. H
  66. 66     NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; //使能外部中断通道- V, H, K2 a; ^# R% c# M2 o( n( V
  67. 67     NVIC_Init(&NVIC_InitStructure);//初始化 NVIC 寄存器5 w$ {+ p9 X; {3 p% _2 H
  68. 68     
    ) v/ n# P, y% f* W  n: P6 I5 g
  69. 69     USART_ITConfig(USART1, USART_IT_RXNE, ENABLE); //开启中断$ H% Q. z+ P0 ?% \" ]0 l* e  L/ v
  70. 70     USART_Cmd(USART1, ENABLE); //使能串口
    : w2 t+ N$ \* g9 d0 D
  71. 71     
    0 F$ X. R' [. D* ~
  72. 72     //USART_ClearFlag(USART1, USART_FLAG_TC);//清发送完成标志
    . `1 I* [. j2 S$ Y" _, p# d
  73. 73     
    + |: v" C$ U6 e* p
  74. 74     2 ^$ a1 s6 {& ^6 ]6 ~# R3 c- E
  75. 75     /**********************配置485控制口*********************/
    + ^% e, |7 s  L; @; K
  76. 76
    6 @2 g9 ~; P/ ^: k; z& Y! i
  77. 77     GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;    0 {$ B* R' r& O, [
  78. 78     GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;   //推挽输出 ,PA4,485Ctr& I' y! ?9 Y' K8 b6 A% |' r! X
  79. 79     GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    4 U  V( [8 c$ b/ R* [
  80. 80     GPIO_Init(GPIOA, &GPIO_InitStructure);
    8 C# {7 `6 m, @0 T! N' Z7 L. w2 e# a
  81. 81     GPIO_ResetBits(GPIOA, GPIO_Pin_4);        //设置为接收模式,默认接收
    7 L8 ]( R" A6 s7 c
  82. 82     
    % D! g5 N* [/ ?; y
  83. 83 }
    0 L% O& i' w, b8 V9 P& c
  84. 84 * I$ T- q4 F3 i5 ?. C: E
  85. 85 void RS485_Send_Data(u8 *buf,u8 len)       //发送完改为接收- z( X, T' y" H/ H/ R& k
  86. 86 {
    : s5 M. _! g+ T" g& {) Z
  87. 87     u8 t;" O9 A- }( r. J' e6 Z, k6 N
  88. 88     RS485_TX_EN=1;//设置为发送模式
    4 C* i  Z- t# \6 n6 V
  89. 89     for(t=0;t<len;t++)& D/ |; z, M$ @8 |9 P
  90. 90     {
    . O. }$ f* \5 H* L9 q& v5 ?
  91. 91         while(USART_GetFlagStatus(USART1, USART_FLAG_TC) == RESET);0 z! b5 B8 L" z
  92. 92         USART_SendData(USART1,buf[t]);) @0 F( E; M9 @7 {& }* u5 A
  93. 93     }/ T( _; I9 {' l' C$ _) D
  94. 94     while(USART_GetFlagStatus(USART1, USART_FLAG_TC) == RESET);* M: Z0 J2 v! m) D4 I
  95. 95     
    # J- R8 P+ ~7 ^" H& K" c
  96. 96     USART1_RX_Data_Len=0;
    6 v0 ]1 R5 v; n, l0 \
  97. 97     RS485_TX_EN=0;//设置为接收模式    & |) o6 j, P, y# K7 K
  98. 98 }
复制代码

& M) o% b6 a2 M3 R6 ~9 P2 \* m* D& B) _
& C9 o- v" X" E; |7 ?3 g! p
  通过以上代码我么们就能通过STM32的串口资源实现485的正常通信了。注意事项:
  • 初始化串口:RX设置为浮空输入、TX设置为复用推挽输出
  • 因为是从节点,默认为接收模式,485C初始化为低电平;主节点则相反。可根据需要修改
  • 每次发送或接收时都应切换通信状态
    8 l) x) O/ ~1 J. ~# d' C! E
# w- r7 V) L" i# E) `
* N5 \8 c' I- S- D% w( V2 n
收藏 评论0 发布时间:2022-1-12 21:25

举报

0个回答
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版