你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

【实测教程】STM32L4之看门狗IWDG实验

[复制链接]
STMCU小助手 发布时间:2023-1-14 18:52
一、开发板平台简介:
& M0 p9 q9 ?) ?, e! w; c1、开发板资源简介
  ~/ O: x1 D. h2 {* K
(1)开发板主芯片型号:STM32L431RCT6
' @# q0 K8 K: j7 I; y5 z(2)开发板主芯片封装:LQFP-64_10x10x05P
& Q- e+ S$ x( Z) w% _  t& G(3)开发板主芯片内核:ARM® Cortex®-M4- X9 G! E" ~3 o0 \
(4)开发板主芯片主频:80MHz
, \, t( q- h( Z2 ^(5)开发板主芯片Flash大小:256KB' a/ o# |/ z" j# q+ G3 [
(6)开发板主芯片RAM大小:64KB
1 u, j# g( {" A8 m4 k. A6 u7 ]. n# O- Z1 G1 q
bb91345227434ee7a43a34bf3fe366e6.png
; \5 p/ x& C& @) _( e& _+ J, {/ V: c1 c7 R* K
929c0cce04aa4115a8623703982bf3e1.png ! P* \& `) c1 v2 s. t3 c1 n
& v0 z2 V/ T$ b* M. h
2、LED灯资源8 B5 y2 Y7 f& M" {2 y3 v0 P* Z
(1) STM32L431RCT6开发板共5个LED灯资源,其中一个红色LED为系统指示灯,指示开发板供电系统是否正常,如供电系统正常,红色LED为上电常亮状态,硬件原理图如下图所示:
: I* p* z$ F! M' ]; {
+ d2 d( J3 J: X3 W+ R% K) t
0f177691c5814f16a508bcfa747f87ac.png
1 y3 d/ Q/ n! D% B

% Y  s/ e& W6 T$ b) z% G(2)其他四个LED灯为黄绿色可控LED,高电平点亮、低电平熄灭,计划用LED常亮验证看门狗的作用,硬件原理图如下图所示:4 J; r" x! L/ a8 p! i
5 O0 }9 U% `% o0 r6 \: p- y
e923ad767b8448b7ac37c4ed693724bd.png # e( r8 t8 c5 Y+ I/ Z
" C& }' x& |6 V7 @6 V
​3、看门狗工作原理9 a$ S5 _% W' B+ c/ V& _$ S: f
在由单片机构成的微型计算机系统中单片机的工作常常会受到来自外界电磁场的干扰,造成程序的跑飞,而陷入死循环;或者因为用户配置代码出现BUG,导致芯片无法正常工作,出于对单片机运行状态进行实时监测的考虑,便产生了一种专门用于监测单片机程序运行状态的模块或者芯片,俗称“看门狗”(watchdog) 。
# l& G* U" n: l) N! V1 H
0 U) l* K0 y3 x. @1 c1 N
独立看门狗(IWDG)由专用的低速时钟(LSI)驱动(40kHz),即使主时钟发生故障它仍有效。独立看门狗适合应用于需要看门狗作为一个在主程序之外 能够完全独立工作,并且对时间精度要求低的场合。7 ^& d) [. @$ K$ U
窗口看门狗由从APB1时钟(36MHz)分频后得到时钟驱动。通过可配置的时间窗口来检测应用程序非正常的过迟或过早操作。 窗口看门狗最适合那些要求看门狗在精确计时窗口起作用的程序。& R% g% A5 c: d" e: m, x

: I& N+ j+ Y- B* L
ee279a92a0b14df5aeede0df13dcdfe0.png
; D& @$ m) n/ ^* I

( i+ p( b  Q1 V/ m. _; A 本次看门狗的实验通过独立看门狗实现。
6 u. m5 H  P* J$ U1 ^0 c" \; T- E
; a3 z' l# Z# e+ e$ f7 s4 J# W

7 J/ i/ E7 ]7 P9 p  二、看门狗实验过程0 W; D( s( P1 ]2 h. ]
1、新建STM32CubeMX基础工程

! W; ?& x1 @. a3 u+ q8 `(1)打开STM32CubeMX,点击“File”-->"New Project"
( X; a  V- I" M0 Q" o
" J; w9 v8 K% U7 o
2399170347904e959c855fefd5877f84.png $ }) C/ z4 l3 `: g- o& Y8 G
! l# Z- T" h8 U
(2)等待打开主芯片选项界面(大约1分钟时间)。
/ o0 m7 `/ o( I! b3 Y' @& L5 M) P5 r: D* w
d74d2fdc60c9440483e2b7cf8d928e7f.png
* ]# _. I8 h) V: g+ [# _- T$ g
1 ?: y$ T% `; E% D+ Y(3)昨天搜索框中输入(或选择)所需的主芯片型号(因为我们用的是STM32L431RCT6开发板,所以此处选择STM32L431RC),然后在右下角选择STM32L431RCTx(因为开发板主芯片是STM32L431RCT6),左键双击即可打开新建的项目。' i* R5 m. U0 c
6 [! `) f+ j9 e
7b80345238d74bea82ce70e1a348f7b4.png 7 o- H4 R( f& c: b6 j
3 a' J) u4 R7 }3 R- I/ r
(4)选择时钟源。
0 _; R# |" W3 F! s9 t(1)因为开发板上有8M外部时钟,硬件原理图如下所示,所以此处选择使用外部高速时钟(HSE)。
! i! q2 W, k& \; Y" _* R6 I/ E1 p
3f65707eca104663a3dede6d25dfa961.png
6 k4 J; ]1 y# O) k( d9 u. N' {' \. E/ t' b5 ?; g. d4 ~
(5)因为我们没有用到外部低速时钟(LSE),此处不做处理,如下图所示。) A: j3 G$ u, w6 P* X5 \

* w5 x5 p8 g8 h$ w- n
72119b971f62410fa8344f7f9fb9f389.png # N3 y2 H2 T1 `( q! W$ {

/ F: Y8 D' p9 \) J. d0 Z) N* }2、配置GPIO控制LED1 |9 X, F9 Y& o* r  h) z& C
(1)查开发板原理图得,LED1、LED2、LED3、LED4的控制引脚分别为:; Z* |8 H1 `+ o$ e  W) j  M6 D0 m
LED1——PC0
  ^' d* |  n0 d0 h6 T* q) {. N' r  jLED2——PC1
( r3 D! R% ^! c) Q1 t) q; a' vLED3——PC2" r# V( c5 c3 j' f) A3 U& Q$ M  j
LED4——PC3
% j7 B+ u9 x4 H' T: z# \/ j7 R! z: p% V+ e
(2)配置LED的控制引脚为输出,输出频率、输出方式默认即可。
- d- d+ T2 r( e1 \9 a, \; g$ J3 K0 v9 X3 C- u
鼠标左键点击PC0,选择“GPIO_Output”,表示设置该引脚为输出模式。
/ f. y9 m" ]* I4 N3 O4 t鼠标左键点击PC1,选择“GPIO_Output”,表示设置该引脚为输出模式。4 i* u' W8 ]& s$ y( t& n7 Z
鼠标左键点击PC2,选择“GPIO_Output”,表示设置该引脚为输出模式。
% X2 t) a1 ]4 j4 ?$ Q鼠标左键点击PC3,选择“GPIO_Output”,表示设置该引脚为输出模式。; N( t- n1 s, K& I
. H8 ?# A& h9 R" E& h  O
492907c1e71149819adbaee4516a2af4.png 3 _  Y0 V9 K, |

) B' f5 p$ z% A( k7 G
c75d3f10ba2e427d9c1a10fad9bf5471.png & L* n% J8 o7 @* a' x7 h
+ @3 a$ I  \$ V* c; @" B
(3)也根据自己的需求配置GPIO的参数,如输出方式、输出频率、上拉下拉等。因为GPIO控制LED的要求比较低,此处采用默认参数即可,不用修改。: Y  t+ d6 @: r; M5 X& {
7 [! J, w) v- q% B3 ]7 d
38ff4b80e1c5495ba3076a5158fd91fa.png
$ X( B6 L8 s1 a# V  S  S$ D
, z5 r% P, \  R2 b- s  f3 Y​​3、配置IWDG参数
3 {* P4 \0 f4 \. A0 Q/ n8 F5 L
  [/ ]8 C  h7 p
309e5486e4f14d2f8d5033b15e2acf40.png ! m6 x; o1 [: R3 S4 e' D/ D0 {

# Q, u* S, Y' S0 [0 Lpsc:32,j计数值:1000-1# K) Z# J3 s1 ?5 X- w0 a' Z
独立看门狗频率:32000/32=1000HZ(查手册可得STM32L431RCT6内部LSI时钟频率32Khz)。- M% T0 o$ F0 A/ c8 l, ?, T( v
所以从1000减到0,所需的超时时间为1s。
8 Z1 N% x: t6 A, @
) d, j. ?$ D$ x' Y6 N& L* R对应不同预分频系数的PRER值:
  \! Z  I! B( z( `
3 N9 Z6 }  P- @# Q
d791c7eb4c2441b3b69ed99ebe72413e.png * }! k5 P1 n5 z0 J
7 i5 o# S. v6 e. G# R
3、配置项目工程参数" X& S9 n3 Q& I6 d1 C$ y3 t5 T+ u
(1)配置时钟树,用于系统内部时钟,以及各个外设时钟等。此处选择外部8M晶振作为主时钟频率,内部最大倍频80MHz。
9 s. L/ W/ q1 t1 n( _! K0 P0 Q) `3 ]
f3ecedd9323f4463b69539906b3c1199.png % J% X. {3 \9 i' Z1 F: ?$ }

" a4 P( k2 r) U(2)完成配置工程。
; H6 N1 t6 g; k9 l备注:需要注意代码生成过程中的继承关系,如图所示:需要保留开发者自己编写的代码时,请根据配置设置,不然生成代码后会删除自己编写的代码(从这个方面也可以看出开发者备份自己的代码是多么的重要。)
5 [% u& H/ z6 W6 ]) a0 K9 l, W
! X2 ?) G; I% j. e1 @3 A
efdb616174f54925b6eac31109f227b5.png 5 i* ^& a. v5 _6 ^5 {. P  }
​​9 O  R) p6 P* a5 i3 F2 q7 K9 v) B! S
41c3f2716e4e4eda8f62fa3a1d871322.png
: r4 u+ p& ?* t8 w8 u: N& i
: U! D' A6 n* G  a6 [4 j, I
(3)生成代码。
' Z4 ?' m- q# |4 r备注:使用Generate CODE生成工程代码前,请确保文件路径无中文,否则会生成项目失败。, v2 P2 W3 f, V% ^

4 R+ J$ Z. |2 K4 I! ?
8eaa7d0babea40ee93f158481a6ee471.png
# x: b7 C9 I' o2 x7 C2 Y) U4 {1 F% z: D3 g: }* b5 G0 y8 t
(4)工程代码生成成功。8 N8 Q3 d8 _1 h  \- l! F
, R8 t* h/ c* i- ]5 T* P
e8f46d59a1fb4af589feb613fdff17b4.png 0 J; Y# K$ `9 i3 o
% z" A: K: P. s' Y' _+ A1 G! C
三、在KEIL 5中编写代码
/ Y) l# B% M% |1、使用KEIL 5(MDK)打开项目工程文件
* ]; U2 A) d' w1 I: O) w/ R/ O源码使用说明:使用前必须把项目工程复制到无中文路径的文件夹下使用。
, E0 |4 e4 H. ^" M! D(1)找到刚才新建工程的存储路径,安装项目名称,打开项目工程.uvprojx。' }# a0 ]' X; J2 _( F5 {

( R! Y+ Q: T) l) d: T* E/ ?
bcd4797c7da0458dabfa49a6f67a9c58.png 1 T9 W+ x3 T0 y0 j
- a/ x# o( f: X8 X0 W1 s
2、添加LED+看门狗验证程序! _; \* ]* z' A& j! u
(1)在main.c文件中,初始化LED1、LED2、LED3、LED4并默认初始化状态为熄灭,进入while循环常亮,并添加喂狗函数。
9 W8 q" u/ A- e. l% V1 N
) ~. j! C2 A+ p  M, ]3 a备注:自己添加的代码需要在 /* USER CODE BEGIN 3 */和 /* USER CODE END 3 */之间添加,否则STM32CubeMX更新代码时,会造成自己添加的代码丢失。
' S+ c% `: s3 S, J# q$ q  u! t8 }
/ B$ C8 P: x/ {; A6 u+ S6 T
0be5c19e82114761b8f17119038f0d90.png % }3 K2 q3 Q" g- @5 V

/ ]+ R% F7 Z2 h+ V) _. s" M+ b! m, Z(2)main 函数代码如下所示:; d3 [  q4 Q/ Q
  1. int main(void)
    # C6 K$ g: x1 A6 Z# w1 V+ j
  2. {
    ) O1 M2 X/ c. z: b
  3.   /* USER CODE BEGIN 1 */
    ) o  N, @" l# C2 u3 k+ K# w

  4. 9 l% }' ^2 u7 ?9 p
  5.   /* USER CODE END 1 */1 m9 [0 `" T# T$ k
  6. 3 t. I# m) Y3 r+ E2 u1 ~
  7.   /* MCU Configuration--------------------------------------------------------*/
    4 H0 n; t. `* w0 j5 a* l

  8. : [/ W7 |9 [) @8 r  o- i
  9.   /* Reset of all peripherals, Initializes the Flash interface and the Systick. */
    # O0 x% F& \, f" |. s
  10.   HAL_Init();: D9 G& p) Q& K# u: l# t2 p  y+ m

  11. ) o1 {' X- N' @9 \( W
  12.   /* USER CODE BEGIN Init *// A6 t; I) D/ W4 \3 ?/ Y
  13. : J: n& u6 m% _
  14.   /* USER CODE END Init */3 d/ K- z8 x* A' Z

  15. + p: d3 Z1 q6 {* M( @6 ]& Z
  16.   /* Configure the system clock */9 T2 r/ q3 O1 a" x9 M
  17.   SystemClock_Config();/ i# |7 |5 l  W% n, R

  18. $ T5 G: z) }6 v' ^1 H
  19.   /* USER CODE BEGIN SysInit */$ |% v, `, D+ R7 u) B0 W

  20. % y! M4 K4 H: \7 X! a, L$ c7 i
  21.   /* USER CODE END SysInit */
      H; O. h+ |, l; E# d- X0 G3 H- K# @

  22. , Y4 l" y7 n: e- [# A8 Q. a
  23.   /* Initialize all configured peripherals */
    5 [; Q3 a  n' a) `
  24.   MX_GPIO_Init();
    5 |2 x, O6 s8 H) Y0 R
  25.   MX_IWDG_Init();
    8 H& o! S& q1 y9 O) g) d! E
  26.   /* USER CODE BEGIN 2 */. t; W+ @* w3 f* V! V; F. o
  27.   HAL_GPIO_WritePin(GPIOC,GPIO_PIN_0,GPIO_PIN_RESET);//指示灯熄灭/ H4 E9 `$ _0 Q4 T& C1 f8 a) Q
  28.   HAL_GPIO_WritePin(GPIOC,GPIO_PIN_1,GPIO_PIN_RESET);8 G$ B! q( e. ]5 ?6 n1 @
  29.   HAL_GPIO_WritePin(GPIOC,GPIO_PIN_2,GPIO_PIN_RESET);. o$ a6 m7 s# y- B& Q
  30.   HAL_GPIO_WritePin(GPIOC,GPIO_PIN_3,GPIO_PIN_RESET);
    0 l6 O) \) V. |: l
  31.   HAL_Delay(500);5 _2 K: o7 j/ k' W3 @$ Y2 P
  32.   /* USER CODE END 2 */
    5 f/ t8 A' x& ]! X& b
  33. " \& Z$ N: }+ ]8 {/ ^
  34.   /* Infinite loop */
      l0 P6 q/ M' U6 V" Z  J/ ^
  35.   /* USER CODE BEGIN WHILE */
    2 j7 A" I/ Q0 J% A, Z
  36.   while (1)3 J1 X% I/ e! S/ |8 _* b- g7 h7 p
  37.   {
    4 \7 {' [) a3 l4 Z: v1 i
  38.     /* USER CODE END WHILE */
    ' L6 B& V: K) T) K9 b8 z/ p" S) c

  39. " Z+ s) {7 U% z+ F1 s
  40.     /* USER CODE BEGIN 3 */- r! m* k: K6 x* ], J' \/ O/ l7 M- L
  41.         HAL_IWDG_Refresh(&hiwdg);                        //1S内喂狗                          
    ( N/ @+ y$ @3 L7 r; s4 M3 p" m* u
  42.                 HAL_GPIO_WritePin(GPIOC,GPIO_PIN_0,GPIO_PIN_SET);//指示灯常亮$ Y) W0 {2 W: t2 W
  43.                 HAL_GPIO_WritePin(GPIOC,GPIO_PIN_1,GPIO_PIN_SET);
    # s' L" a2 `8 v9 `( {  _. C/ D
  44.         HAL_GPIO_WritePin(GPIOC,GPIO_PIN_2,GPIO_PIN_SET);
    ! A" x: G: b/ n- `! I
  45.         HAL_GPIO_WritePin(GPIOC,GPIO_PIN_3,GPIO_PIN_SET);; i2 N) @/ c4 I2 }% b( J8 \3 Q
  46.                 HAL_Delay(500);
    7 y% l" k6 P6 t7 S, H, l
  47.                 1 o3 x3 @  _6 M$ p  N; w3 N
  48.   }
    , s, s, K1 F7 n0 _* S
  49.   /* USER CODE END 3 */
    # a! {& R" ~# n% ?
  50. }
复制代码

: k% B9 ?. G: Z. o* y3、设置编程仿真下载模式$ d9 D% V! I; q9 W
(1)选择Options for target ...>>Debug>>J-Link/J-JTRACE Cortex,点击Settings>>选择Port(SW),可以看到搜索成功SW Device,表示芯片可用,可以下载。. e* v& s! U0 A( H9 E0 J

$ J+ m) B) m5 H: v& v
edefb6b9a2a14be3b1221ad6d5c7a8a2.png
, m# Q3 N9 M3 @​​
% d' P! u- O9 X, a% [(2)点击编译,完成后提示“0 error(s),0 warning(s)”。
5 M3 ]# s) g& E4 e7 e+ u
+ L3 [- U( _0 s! n
6a7e14d4a6c7419897ed550d010a9cd2.png
( Y2 G& r+ A* A9 {
/ n% m  P5 b! G8 `(3)点击Download(或者快捷键F8),即可下载程序。
" ~& T( m( \5 M' K; g& }# ~2 o9 q1 l9 R5 C0 Q/ D
99bdf89cc1f64cc7aee4b4d768206f24.png . f5 Z- e- U2 p/ X# W0 M
​​
2 F+ K4 S: H; o- y1 [! p9 q; K! s1 N; i  |* [7 e
(4) 如果下载程序后,没有看到LED1、LED2、LED3、LED4点亮,可以按下述方式设置一下(Reset and run表示下载后自动复位和重启运行)。或者重新彻底断电再次上电(或按开发板的Reset按键复位MCU即可)。: a1 N" X% I8 ]2 l9 l/ B

6 j3 \7 d' ]( \, h+ \* v/ q
9f8aa1a4e8f04041bcf5cb183facd100.png
5 R7 x+ m+ \( @! G0 U ​​
. B: |  Q4 [  N+ r# z4、独立看门狗效果展示4 ^" M; C  ]3 T/ n: `7 W
        程序烧录到开发板后,即可看到LED1、LED2、LED3、LED4初始化后常亮,说明看门狗喂狗正常。如果屏蔽看门狗喂狗函数,则可以看到指示灯闪烁,说明系统超时复位,LED灯重新进行了初始化。( d; x: e3 B2 N) `

/ ~5 K6 S1 G1 C- y% n
————————————————( p9 s' x0 ~; e' S. ?
版权声明:智能小屋ZYXC
$ {8 H8 D. t$ ]/ e$ j: K3 f% O% |
; C* }6 B. |* V& I( B) r
收藏 评论0 发布时间:2023-1-14 18:52

举报

0个回答
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版